Conception

Transcription

Conception
Cours d’électronique numérique
Conception
Conception
Cours d’électronique numérique
Comment Concevoir un Circuit ?
Cela dépend du circuit.
Cours d’électronique numérique
Comment Concevoir un Circuit ?
Cela dépend du circuit.
petits circuits : A la main, en schématique
Cours d’électronique numérique
Comment Concevoir un Circuit ?
Cela dépend du circuit.
petits circuits : A la main, en schématique
circuits moyens : A la main à l’aide de composants discrets
Cours d’électronique numérique
Comment Concevoir un Circuit ?
Cela dépend du circuit.
petits circuits : A la main, en schématique
circuits moyens : A la main à l’aide de composants discrets
gros circuits : A l’aide de langage de Description de circuits
numériques
Cours d’électronique numérique
Les Langages de description
Langage de type HDL : Hardware Description Language
Cours d’électronique numérique
Les Langages de description
Langage de type HDL : Hardware Description Language
VHDL : Volonté d’Industriels et de Chercheurs de définir un
langage HDL
Cours d’électronique numérique
Les Langages de description
Langage de type HDL : Hardware Description Language
VHDL : Volonté d’Industriels et de Chercheurs de définir un
langage HDL
Verilog : Issu de la société Cadence Inc.
Cours d’électronique numérique
Les Langages de description
Langage de type HDL : Hardware Description Language
VHDL : Volonté d’Industriels et de Chercheurs de définir un
langage HDL
Verilog : Issu de la société Cadence Inc.
System C : Mettre au même niveau Logiciel et Matériel
Cours d’électronique numérique
VHDL
Existe depuis 1987 date de la première norme. 1993 seconde
norme.
Cours d’électronique numérique
VHDL
Existe depuis 1987 date de la première norme. 1993 seconde
norme.
Langage Mûr et couramment utilisé
Cours d’électronique numérique
VHDL
Existe depuis 1987 date de la première norme. 1993 seconde
norme.
Langage Mûr et couramment utilisé
3 Niveaux :
Cours d’électronique numérique
VHDL
Existe depuis 1987 date de la première norme. 1993 seconde
norme.
Langage Mûr et couramment utilisé
3 Niveaux :
Niveau Structurel
Cours d’électronique numérique
VHDL
Existe depuis 1987 date de la première norme. 1993 seconde
norme.
Langage Mûr et couramment utilisé
3 Niveaux :
Niveau Structurel
Niveau Flot de Données
Cours d’électronique numérique
VHDL
Existe depuis 1987 date de la première norme. 1993 seconde
norme.
Langage Mûr et couramment utilisé
3 Niveaux :
Niveau Structurel
Niveau Flot de Données
Niveau Comportemental
Cours d’électronique numérique
VHDL - RTL
RTL : Register Transfert Level
Cours d’électronique numérique
VHDL - RTL
RTL : Register Transfert Level
Description Synthétisable
Cours d’électronique numérique
VHDL - RTL
RTL : Register Transfert Level
Description Synthétisable
Utilisable pour fondre un circuit
Cours d’électronique numérique
VHDL - RTL
RTL : Register Transfert Level
Description Synthétisable
Utilisable pour fondre un circuit
Utilisée dans ce cours
Cours d’électronique numérique
VHDL - Base
3 blocs de base:
Cours d’électronique numérique
VHDL - Base
3 blocs de base:
Les bibliothèques
Cours d’électronique numérique
VHDL - Base
3 blocs de base:
Les bibliothèques
L’entité : Décrit l’interfaçage du composant
Cours d’électronique numérique
VHDL - Base
3 blocs de base:
Les bibliothèques
L’entité : Décrit l’interfaçage du composant
L’architecture : Décrit le fonctionnement du composant
Cours d’électronique numérique
VHDL - Bibliothèque
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
Cours d’électronique numérique
VHDL - Entité
entity MON-ET is
port( A : in std_logic;
B : in std_logic;
S : out std_logic);
end entity MON-ET;
Cours d’électronique numérique
VHDL - L’architecture
S = A et B
architecture FLOT of MON-ET is
begin
S <= A and B;
end architecture FLOT;
Cours d’électronique numérique
flot de conception
De la description au circuit
Cours d’électronique numérique
flot de conception
De la description au circuit
Décrit en VHDL le circuit
Cours d’électronique numérique
flot de conception
De la description au circuit
Décrit en VHDL le circuit
Simule le circuit
Cours d’électronique numérique
flot de conception
De la description au circuit
Décrit en VHDL le circuit
Simule le circuit
Synthétise le circuit
Cours d’électronique numérique
flot de conception
De la description au circuit
Décrit en VHDL le circuit
Simule le circuit
Synthétise le circuit
Placement-Routage du circuit
Cours d’électronique numérique
flot de conception
De la description au circuit
Décrit en VHDL le circuit
Simule le circuit
Synthétise le circuit
Placement-Routage du circuit
Réalise un masque
Cours d’électronique numérique
flot de conception
De la description au circuit
Décrit en VHDL le circuit
Simule le circuit
Synthétise le circuit
Placement-Routage du circuit
Réalise un masque
Cuisson du circuit
Cours d’électronique numérique
Langage HDL, a quoi ça sert ?
A la conception d’ASIC
Cours d’électronique numérique
Langage HDL, a quoi ça sert ?
A la conception d’ASIC
A la programmation de FPGA (cours SSN)
Cours d’électronique numérique
Langage HDL, a quoi ça sert ?
A la conception d’ASIC
A la programmation de FPGA (cours SSN)
A la vérification fonctionnelle de circuits numériques.

Documents pareils