Production scientifique 2009-2014
Transcription
Production scientifique 2009-2014
Laboratoire d’analyse et d’architecture des systèmes du CNRS Scientific Production January 2009 - June 2014 June 2015 Contents Summary Tables ................................................................... 1 Crucial Computing – IC Theme ................................................. 3 Networks and Communications – RC Theme ................................ 23 Robotics – ROB Theme .......................................................... 47 Decision and Optimization – DO Theme ..................................... 69 Microwaves and Optics: From Electromagnetism to Systems – HOPES Theme ................... 103 Nano Engineering and Integration – N2I Theme ......................... 143 Micro Nano Bio Technologies – MNBT Theme ............................. 165 Energy Management – GE Theme ........................................... 195 IDEA Service..................................................................... 215 I2C Service ...................................................................... 219 TEAM Service ................................................................... 229 PhD and HDR Defenses ........................................................ 245 1 2 3 4 5 Crucial Computing – IC Theme ....................................................... Networks and Communications – RC Theme....................................... Robotics – ROB Theme ................................................................. Decision and Optimization – DO Theme ............................................ Microwaves and Optics: From Electromagnetism to Systems – HOPES Theme ............................ 6 Nano Engineering and Integration – N2I Theme .................................. 7 Micro Nano Bio Technologies – MNBT Theme ...................................... 8 Energy Management – GE Theme .................................................... 245 249 253 257 261 267 271 275 Publications of People Recruited since January 2009 ................. 279 Software Production .......................................................... 295 —•—•— This document lists the references of the publications made during the period January 2009-June 2014. It also lists the Software Production over that period. It is available for download from the Laboratory website: www.laas.fr SUMMARY TABLES PAPER SUMMARY between 01/01/2009 and 30/06/2014 AFFI 20 AFFN 2 CII 157 CIN 28 MAI 1892 MAN 233 MSI 114 MSN 175 OAI 10 OAN 8 OEI 18 OEN 2 OPI 81 OPN 12 RII 7 RVSI 1071 RVSN 33 RVSII 13 RVV 9 3885 TOTAL Poster -‐international-‐ Poster -‐national-‐ Invited paper (conference) -‐international-‐ Invited paper (conference) -‐national-‐ Conferences with published proceedings -‐international-‐ Conferences with published proceedings -‐national-‐ Conferences without proceedings -‐international-‐ Conferences without proceedings -‐national-‐ Books (author) -‐international-‐ Books (author) -‐national-‐ Books (editor) -‐international-‐ Books (editor) -‐national-‐ Books (contribution) -‐international-‐ Books (contribution) -‐national-‐ Guest editor Scientific Journals -‐international-‐ Scientific Journals -‐national-‐ Invited paper (journal) -‐international-‐ Popular paper PAPER SUMMARY BY THEME Total IC AFFI AFFN 6 2 RC CII CIN MAI MAN MSI MSN OAI OAN OEI OEN OPI OPN RII 20 1 222 31 5 20 10 2 281 17 6 18 ROB 3 14 3 258 33 6 9 DO 1 26 4 373 22 22 36 HOPES 1 32 6 381 95 14 37 NII 1 27 6 161 21 29 31 3 9 29 4 190 16 23 19 1 GE 1 12 6 188 27 18 17 1 PHD HDR 29 3 RC 32 5 Rob 57 6 DO 52 6 HOPES 60 7 NII 36 2 MNBT 36 6 GE 35 3 RVV 47 2 4 2 4 1 2 2 4 3 1 1 17 4 11 1 22 3 4 95 10 2 1 105 2 4 1 2 PhD and HDR SUMMARY BY THEME Total IC RVSN 2 1 MNBT RVSII 1 1 5 RVSI Scientific Production — 1 20 1 2 7 1 2 244 4 214 3 5 128 2 10 2 5 9 1 215 2 2 104 3 1 IC theme: Crucial Computing Papers in collaboration with other themes Theme nb papers ref GE MAI10651, OPN10825 2 RC CII14220, MAI09318, MAI09656, MAI10210, MAI10291, MAI10364, MAI10651, 18 MAI11055, MAI11680, MAI12495, MAI14005, MAN10266, MSN10729, OAN09586, OPI09806, OPN10825, RVSI12053, RVSI12152 NII Rob HOPES DO 11 5 1 7 CII11337, CII12665, MAI09066, MAI10173, MAI10651, MAI12279, MAI14174, MAI14175, OPI12026, RVSI11540, RVSI11721 MAI12100, MAI13328, MAN11355, MAN12254, MSN12402 OPN10825 AFFI12532, MAI10210, MAI12642, MAI13328, MAN11355, MAN12254, MSN12402 Paper summary for IC theme AFFI Poster -‐international-‐ AFFN Poster -‐national-‐ Invited paper (conference) -‐international-‐ CII Invited paper (conference) -‐national-‐ CIN Conferences with published proceedings -‐international-‐ MAI Conferences with published proceedings -‐national-‐ MAN Conferences without proceedings -‐international-‐ MSI Conferences without proceedings -‐national-‐ MSN Books (author) -‐national-‐ OAN Books (editor) -‐international-‐ OEI Books (editor) -‐national-‐ OEN Books (contribution) -‐international-‐ OPI Books (contribution) -‐national-‐ OPN Scientific Journals -‐international-‐ RVSI Scientific Journals -‐national-‐ RVSN Invited Journals -‐international-‐ RVSII Popular Journals RVV 6 2 20 1 222 31 5 20 2 1 1 17 4 47 4 2 2 IC Theme publications [AFFI] Poster -‐international-‐ Ref Authors Published in: AFFI12214 O.BALDELLON, J.C.FABRE, M.ROY AFFI12532 S.INDRA, V.ALBERT, L.TRAVEMASSUYES AFFI12622 R.GUILLERM, H.DEMMOU, N.SADOU AFFI13282 R.GUILLERM, H.DEMMOU, N.SADOU AFFI13749 A.KRITIKAKOU, O.BALDELLON, C.PAGETTI, C.ROCHANGE, M.ROY, F.VARGAS M.CHERAMY, P.E.HLADIK, A.M.DEPLANCHE, S.DUBE AFFI14311 Title Distributed monitoring of temporal system properties using Petri nets International Symposium on Reliable Distributed Systems ( SRDS ) 2012 du 08 octobre au 11 octobre 2012, Irvine (USA), 2012, pp.398-399 Developing a testbed for spacecraft fault Workshop on Simulation for European Space diagnosos research Programmes (SESP 2012), Noordwijk (Pays Bas), 25-27 Septembre 2012, 8p. Illustration of the information model for complex Complex Systems Design & Management ( CSD&M system modeling: from requirement to V&V ) 2012 du 12 décembre au 14 décembre 2012, Paris (France), 2012, 10p. A safety requirement engineering method and IEEE International Requirements Engineering tool Conference ( RE ) 2013 du 15 juillet au 19 juillet 2013, Rio de Janeiro (Brésil), Juillet 2013, 6p. Monitoring on-line timing information to support IEEE Real-Time Systems Symposium ( RTSS ) 2013 mixed-critical workloads du 03 décembre au 06 décembre 2013, Vancouver (Canada), Décembre 2013, 2p. Simulation of real-time scheduling with various IEEE International Symposium on Industrial execution time models Embedded Systems ( SIES ) 2014 du 19 juin au 21 juin 2014, Pise (Italie), Juin 2014, 4p. Scientific Production — 3 [AFFN] Ref Poster -‐national-‐ Authors AFFN13709 T.PROBST AFFN13717 B.MORGAN, E.ALATA Title Published in: Une approche pour l'évaluation et l'analyse de la Conférence sur la Sécurité des Architectures sécurité dans le cloud computing Réseaux et Systèmes d'Information ( SAR-SSI ) 2013 du 16 septembre au 18 septembre 2013, Mont de Marsan (France), Septembre 2013, 4p. Détection de défaillance de gestionnaires de Conférence sur la Sécurité des Architectures machines virtuelles Réseaux et Systèmes d'Information ( SAR-SSI ) 2013 du 16 septembre au 18 septembre 2013, Mont de Marsan (France), Septembre 2013, 4p. [CII] Ref Invited paper (conference) -‐international-‐ Authors Title CII09212 J.H.COLLET, M.PSARAKIS, P.ZAJAC, D.GIZOPOULOS, A.NAPIERALSKI CII09962 J.ARLAT CII09963 J.ARLAT CII09964 J.ARLAT CII09965 CII09966 CII101003 CII10703 CII11239 CII11337 CII12665 CII12758 CII12869 CII13664 CII13665 Published in: Comparison of fault-tolerance techniques for International Conference Mixed Design of Integrated massively defective fine-and coarse-grained Circuits and Systems ( MIXDES ) 2009 du 25 juin nanochips au 27 juin 2009, Lodz (Pologne), 25-27 Juin 2009, 7p. From Controlled Experiments to Standardized Conference on Fault-Tolerant Computing du FaultBenchmarks for Dependability Assessment Tolerant Computing Committee (China Computer Federation) ( CFTC ) 2009 CFTC-09 du 20 juillet au 21 juillet 2009, Hailaer City, Mongolie Intérieure (Chine), Juin 2009 Assessment of Fault-Tolerant and Dependable Workshop de Testes e Tolerância a Falhas at LatinComputing: The Fault Injection-based Testing American Symposium on Dependable Computing ( Approach WTF-LADC ) 2009 WTF 2009 - LACD 2009 du 31 Août 2009, Joaõ Pessoa, Paraiba (Brésil), Août 2009 One Step Beyond Dependable and Secure Conference on Fault-Tolerant Computing du FaultComputing Tolerant Computing Committee (China Computer Federation) ( CFTC ) 2009 CFTC-09 du 20 juillet au 21 juillet 2009, Hailaer City, Mongolie Intérieure (Chine), Panel: The Future of Dependable and Secure Computing, Septembre 2009 J.ARLAT About LADC and Beyond… Workshop de Testes e Tolerância a Falhas at LatinAmerican Symposium on Dependable Computing ( WTF-LADC ) 2009 WTF 2009 - LACD 2009 du 31 Août 2009, Joaõ Pessoa, Paraiba (Brésil), 'Panel: Fostering Dependability Research in Latin America, Août 2009 J.ARLAT, T.NANYA, T.YONEDA Robustness Testing of Critical Embedded France-Japan Meeting on Research Topics of Software Applications Interest for Airbus Industry 2009 du 05 novembre au 05 novembre 2009, Tokyo (Japon), 5 Novembre 2009 K.KANOUN Software dependability assessment: current International DEOS Workshop 2010 du 16 approaches and research gaps décembre au 17 décembre 2010, Tokyo (Japon), Décembre 2010, 10p. Y.DESWARTE Protecting critical infrastructures while International Conference on Distributed Computing preserving each organization's autonomy and Internet Technology ( ICDCIT ) 2011 du 09 février au 12 février 2011, Bhubaneshwar (Inde), Février 2011, pp.15-34 M.KAANICHE Resilience assessment of critical infrastructures: Workshop on Resilience Assessment of Critical from accidental to malicious threats Infrastructures (RACI) Latin-American Symposium on Dependable Computing (LADC 2011), São José dos Campos (Brésil), 25-29 Avril 2011, pp.35-36 G.AURIOL, C.BARON, V.SHUKLA, Design and simulations of wireless sensors WSEAS International Conference on J.Y.FOURNIOLS networks in a long range aircraft Communications, Corfu (Grèce), 14-17 Juillet 2011, pp.117-124 Z.AQACHMAR, P.ACCO, Why don't we use free 868 MHz band for IEEE Convention of Electrical & Electronics J.Y.FOURNIOLS, G.AURIOL, geolocation? Engineers in Israel ( IEEEI ) 2012 du 14 novembre C.ESCRIBA au 17 novembre 2012, Eilat (Israel), 2012, 3p. S.DAL ZILIO, F.VERNADAT, Model-checking support for AADL Dagstuhl Seminar 2012 du 01 juillet au 06 juillet B.BERTHOMIEU, M.FILALI, 2012, Wadern (Allemagne), Juillet 2012, 20p. J.P.BODEVEIX K.KANOUN Availability of web-based services Open Systems Dependability Conference ( OSD Conference ) 2012 du 07 mars au 07 mars 2012, Tokyo (Japon), Mars 2012, 10p. J.ARLAT Towards dependable computing: the self- New Information Communication Science and reinforcing architecting and assessment loop Technology for Sustainable Development: FranceChina International Workshop ( NICST ) 2013 du 18 septembre au 20 septembre 2013, Clermont Ferrand (France), Septembre 2013, 26p. J.ARLAT Can RIIF address the reliability modeling gap ? RIIF Workshop 2013 du 22 mars au 22 mars 2013, Grenoble (France), Février 2013, 5p. Scientific Production — 4 CII13666 J.ARLAT CII13719 K.KANOUN CII13720 K.KANOUN CII13728 M.KAANICHE CII14220 J.ARLAT, M.DIAZ, M.KAANICHE [CIN] Ref Invited paper (conference) -‐national-‐ Authors Title CIN13733 K.KANOUN [MAI] Ref Conferences with published proceedings -‐international-‐ Authors Title MAI08115 C.LU, J.C.FABRE, M.O.KILLIJIAN Robustness of modular multi-layered software in the automotive domain: a wrapping-based approach T.BOCHOT, P.VIRELIZIER, Model checking flight control systems: the H.WAESELYNCK, V.WIELS Airbus experience MAI08284 From component reliability to system dependability: a modeling and assessment perspective Software dependability assessment. A reality or a dream ? RIIF Workshop 2013 du 22 mars au 22 mars 2013, Grenoble (France), Mars 2013, 12p. International Workshop on Verification and Evaluation of Computer and Communication Systems ( VECoS ) 2013 du 21 novembre au 22 novembre 2013, Florence (Italie), Novembre 2013, 21p. Software dependability assessment. A reality or International Conference on Software Security and a dream ? Reliability ( SERE ) 2013 du 18 juin au 20 juin 2013, Washington (USA), Juin 2013, 16p. Resilience assessment and analysis of cyber- International Conference on Electrical Engineering, physical systems and critical infrastructures Computing Science and Automatic Control ( CEE ) 2013 du 30 septembre au 04 octobre 2013, Mexico (Mexique), Septembre 2013, 31p. Towards resilient cyber-physical systems: the International Conference on Design & Technology of ADREAM project Integrated Systems in Nanoscale Era ( DTIS ) 2014 du 06 mai au 08 mai 2014, Santorin (Grèce), Mai 2014, 5p. Published in: La sûreté de fonctionnement comme critère de Journée CAPTRONIC 2013 du 30 janvier au 30 choix d'un OS janvier 2013, Villefontaine (France), Janvier 2013, 11p. Published in: 14th IEEE International Conference on Emerging Technologies and Factory Automation (ETFA 2009), Majorque (Espagne), 22-26 Septembre 2009, 8p. International Conference on Software Engineering Track Software Engineering in Practice ( ICSE-SEIP ) 2009 du 16 mai au 24 mai 2009, Vancouver (Canada), 16-24 Mai 2009, 6p. 3rd International Conference on Software Testing, Verification and Validation (ICST 2010), Paris (France), 7-9 Avril 2010, pp.195-204 2009 IEEE International Workshop on Quantitative Evaluation of Large-scale Systems and Technologies (IEEE QuEST-09), Bradford (UK), 2629 Mai 2009, pp.1124-1129 The Fourth International Conference on Systems (ICONS 2009), Gosier (France), 1-6 Mars 2009, pp.190-196 Data Systems in Aerospace (DASIA 2009), Istanbul (Turquie), 26-29 Mai 2009, 5p. 33th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2009), Estoril (Portugal), 24-26 Juin 2009, 10p. 18th EICAR Annual Conference, Berlin (Allemagne), 11-12 Mai 2009, 18p. MAI08714 M.D.NGUYEN, H.WAESELYNCK, GraphSeq: a graph matching tool for the N.RIVIERE extraction of mobility patterns MAI09007 M.GAD EL RAB, A.ABOU EL KALAM, Y.DESWARTE Manipulation of network traffic traces for security evaluation MAI09018 V.ALBERT, A.NKETSA Signature matching applied to simulation/frame duality MAI09027 Y.LAAROUCHI, Y.DESWARTE, D.POWELL, J.ARLAT, E.DE O.HAMOUDA, M.KAANICHE, K.KANOUN Ensuring safety and security for avionics: a case study Safety modeling and evaluation of automated highway systems MAI09065 E.LACOMBE, V.NICOMETTE, Y.DESWARTE MAI09066 P.ESTEBAN, J.C.PASCAL, D.ESTEVE MAI09078 Y.LAAROUCHI, Y.DESWARTE, D.POWELL, J.ARLAT, E.DE NADAI G.VACHE A hardware-assisted virtualization based approach on how to protect the kernel space from malicious actions Une méthodologie de conception produit basée 8ème Congrès International de Génie Industriel sur la norme EIA-632 (CIGI 2009), Bagnères de Bigorre (France), 10-12 Juin 2009, 8p. Enhancing dependability in avionics using EuroSys Workshop on Virtualization Technology for virtualization Dependable Systems (VTDS'09), Nuremberg (Allemagne), 31 Mars - 3 Avril 2009, 5p. Environment characterization and system 28th International Conference on Computer Safety, modeling approach for the quantitative Reliability ans Security (SAFECOMP 2009), evaluation of security HAmbourg (Allemagne), 15-18 Septembre 2009, pp.89-102 Connectivity dynamics in vehicular freeway The 2nd IEEE International Workshop on ITS for scenarios Ubiquitous Roads (UBIROADS'2009). IEEE GIIS 2009, Hammamet (Tunisie), 26 Juin 2009, 15p. Discret and hybrib systems dependability 12th European Workshop on Dependable analysis with ESA petrinet Computing (EWDC 2009), Toulouse (France), 14-15 Mai 2009, 2p. Some challenges in adaptive fault tolerant 12th European Workshop on Dependable computing Computing (EWDC 2009), Toulouse (France), 14-15 Mai 2009, 2p. MAI09061 MAI09090 MAI09125 MAI09147 MAI09169 O.HAMOUDA, M.KAANICHE, E.V.MATTHIESEN, J.G.RASMUSSEN, H.P.SCHWEFEL R.GUILLERM, H.DEMMOU, N.SADOU F.TAIANI, J.C.FABRE Scientific Production — 5 MAI09171 MAI09184 MAI09186 MAI09187 MAI09207 MAI09208 MAI09210 MAI09224 MAI09274 MAI09314 MAI09318 MAI09325 MAI09371 MAI09384 MAI09388 MAI09400 MAI09438 MAI09450 MAI09451 MAI09499 MAI09656 MAI09657 MAI09671 T.ROBERT, M.ROY, J.C.FABRE Application of early error detection for handling 12th European Workshop on Dependable degraded modes of operation Computing (EWDC 2009), Toulouse (France), 14-15 Mai 2009, 2p. H.CHU, J.ARLAT, M.O.KILLIJIAN, Robustness evaluation of robot controller 12th European Workshop on Dependable B.LUSSIER, D.POWELL software Computing (EWDC 2009), Toulouse (France), 14-15 Mai 2009, 2p. M.O.KILLIJIAN, M.ROY, Data backup for mobile nodes: a cooperative Workshop on Architecting Dependable Systems G.SEVERAC, C.ZANON middleware and experimentation platform (DSN 2009 WADS), Lisbonne (Portugal), 29 Juin 2009, 6p. Y.LAAROUCHI, Y.DESWARTE, Criticality and confidence issues in avionics 12th European Workshop on Dependable D.POWELL, J.ARLAT, E.DE Computing (EWDC 2009), Toulouse (France), 14-15 NADAI Mai 2009, 2p. J.KONATE, A.E.K.SAHRAOUI Approche collaborative du processus Ingénierie d'Entreprise et des Systèmes d'elicitation des exigences d'Information (IESI), Toulouse (France), 26 Mai 2009, 13p. Y.DESWARTE, S.GAMBS Towards a privacy-preserving national identity Fourth International Workshop on Data Privacy card Management, Saint Malo (France), 24 -25 Septembre 2009, pp.48-64 Y.LAAROUCHI, Y.DESWARTE, Connecting commercial computers to avionics 28th Digital Avionics Systems Conference D.POWELL, J.ARLAT, E.DE systems (DASC'09) NADAI , Orlando (Etats-Unis), 25-29 Octobre 2009, 9p. R.SAAD, F.VERNADAT, Observation Graph implementation for TINA 12th European Workshop on Dependable B.BERTHOMIEU, S.DAL ZILIO toolbox Computing (EWDC 2009), Toulouse (France), 14-15 Mai 2009, 4p. E.KOLONIS, M.NICOLAIDIS, Enhanced self-configurability and yield in 15th IEEE International On-Line Testing Symposium, D.GIZOPOULOS, M.PSARAKIS, multicore grids Lisbonne (Portugal), 24-27 Juin 2009, 6p. J.H.COLLET, P.ZAJAC B.BERTHOMIEU, J.P.BODEVEIX, Formal verification of AADL specifications in the Reliable Software Technologies - Ada Europe 2009, C.CHAUDET, S.DAL ZILIO, topcased environment Brest (France), 8-12 Juin 2009, 15p. M.FILALI, F.VERNADAT I.ALBERDI, V.NICOMETTE, Plateforme pour l'exécution contrôlée de Colloque Francophone d'Ingenierie des Protocoles P.OWEZARSKI logiciels malveillants (CFIP), Strasbourg (France), 12-15 Octobre 2009, 12p. M.O.KILLIJIAN, M.ROY A platform for experimenting with mobile Proceedings of ACM Principles Of Distributed algorithms in a laboratory Computing (PODC), Calgary (Canada), 10-13 Août 2009, 2p. N.SADOU, R.GUILLERM, Hybrid Approach for Deriving Feared Scenarios SAFE PROCESS 2009 - 7th IFAC Symposium on H.DEMMOU, K.ALLOULA in Industrial Systems Fauult Detection Supervision and Safety of Technical Processes, Barcelone (Espagne), 30 Juin-3 Juillet 2009 E.MARTINS, A.CAVALLI, J.ARLAT, RobustWeb: development and validation of SOA Colloque d'informatique: Brésil /Inria, Coopérations, R.MORAES, T.WEBER applications based on web services Avancées et Défis (COLIBRI 2009), Bento Goncalves (Brésil), 22-23 Juillet 2009, pp.42-47 F.PERES, P.E.HLADIK, Specification and verification of real-time 3rd International Workshop on Verification and F.VERNADAT systems using the POLA tool Evaluation of Computer and Communication Systems (VECOS 2009), Rabat (Maroc), 2-3 Juillet 2009, 12p. F.PERES, B.BERTHOMIEU, Composer des réseaux de Petri temporels Journal européen des systèmes automatisés F.VERNADAT Modèlisation des systèmes réactifs (JESA-MSR 2009) , Nantes (France), 16-18 Novembre 2009, Vol.43, pp.1001-1015 G.VACHE Vulnerability analysis for a quantitative security 5th International Workshop on Security evaluation Measurement and metrics (METRISEC 2009) du 3rd International Symposium on Empirical Software Engineering and Measurement (ESEM 2009), Lake Buena Vista (Etats-Unis), 14 Octobre 2009, pp.526534 R.GUILLERM, H.DEMMOU, ESA_PetriNet: Petri net based tool for reliability IEEE International Conference on Systems, Man, N.SADOU analysis and Cybernetics (SMC 2009), San Antonio (EtatsUnis), 11-14 Octobre 2009, 6p. V.ALBERT, A.NKETSA A hierachy of embedded systems' models International Conference on Electronics Computers and Artificial Intelligence (ECAI 2009), Pitesti (Roumanie), 3-5 Juillet 2009, pp.159-164 C.LU, J.C.FABRE, M.O.KILLIJIAN An approach for improving fault-tolerance in 17th International Conference on Real-Time automotive modular embedded software Systems (RTNS 2009), Paris (France), 26-27 Octobre 2009, pp.132-147 A.AL SHEIKH, O.BRUN, Decision Support for Task Mapping on IMA Junior Researcher Workshop on Real-Time P.E.HLADIK Architecture Computing (JRWRTC 2009), Paris (France), Octobre 2009, pp.31-34 M.SGHAIRI, A.DE BONNEVAL, Distributed and reconfigurable architecture for 28th Digital Avionics Systems Conference Y.CROUZET, J.J.AUBERT, flight control system (DASC'09), Orlando (Etats-Unis), 25-29 Octobre P.BROT, Y.LAAROUCHI 2009, 10p. R.GUILLERM, H.DEMMOU, System engineering approach for safety European Simulation and Modelling Conference N.SADOU management of complex systems (ESM'2009), Leicester (Royaume-Unis), 26-28 Octobre 2009, pp.150-157 Scientific Production — 6 MAI09724 MAI09727 MAI09728 MAI09821 MAI09835 MAI09846 MAI09847 MAI09848 MAI09849 MAI09850 MAI09852 MAI10048 MAI10050 MAI10080 MAI101005 MAI101006 MAI101007 MAI101010 MAI10126 MAI10129 MAI10130 MAI10141 MAI10173 MAI10210 K.REFAAT, P.E.HLADIK Efficient Stochastic Analysis Systems via Random Sampling of Real-Time Euromicro Conference on Real-Time Systems (ECRTS10), Bruxelles (Belgique), 6-9 Juillet 2010, 7p. O.HAMOUDA, M.KAANICHE, Availability modelling of a virtual black box for 2nd International Workshop on Software K.KANOUN automotive systems Engineering for Resilient Systems (SERENE '10), Londres (GB), 15-16 Avril 2010, pp.52-60 O.HAMOUDA, M.KAANICHE, Dependability modelling of safety applications in Embedded Real Time Software and Systems K.KANOUN communicating automotive systems (ERTS2 2010), Toulouse (France), 19-21 Mai 2010, 3p. V.ALBERT, A.NKETSA An Approach for Conceptual Model Validation 3rd International Conference on Software, Knowledge and Information Management and Applications (SKIMA'2009) , Fès (maroc), 21-23 Octobre 2009, 6p. V.ALBERT, A.NKETSA A formal definition of Simumation Validity European Simulation and Modelling Conference (ESM'2009), Leicester (Royaume-Unis), 26-28 Octobre 2009, pp.43-48 J.NIN, P.SALLE, S.BRINGAY, Using OWA Operators for Gene Sequential 22nd IEEE International Symposium onComputerM.TEISSEIRE PAttern Clustering Based Medical Systems (CBMS 2009), Albuquerque (Etats-Unis), 3-4 Août 2009, 4p. J.HERRANZ, J.NIN, V.TORRA Distributed Privacy-Preserving methods for Data Privacy Management (DPM 2009), St Malo Statistical Disclosure Control (France), 24 Septembre 2009, pp.33-47 J.HERRANZ, S.MATWIN, A Cryptographic Solution for private distributed 12th International Congress of the Catalan P.MESEGUER, J.NIN Simple Meeting Scheduling Association for Artificial Intelligence (CCIA 2009), Cardona (Espagne), 21-23 Octobre 2009, pp.275283 V.MUNTES-MULERO, J.NIN Privacy and Anonymization for Very Large International Conference on Information and datasets Knowledge Management (CIKM 2009), Hong Kong (China), 2-6 Octobre 2009, pp.2117-2118 J.HERRANZ, J.NIN Partial Symbol Ordering Distance 6th International Conference on Modeling Decisions for Artificial Intelligence (MDAI 2009), Ile d'Awaji (Japon), 30 Novembre-2 décembre 2009, pp.293302 R.SAAD, S.DAL ZILIO, Enumerative Parallel and Distributed State Ecole d⁄Eté Temps Réel (ETR⁄09), Paris B.BERTHOMIEU, F.VERNADAT Space Construction (France), 31 Août-4 septembre 2009, 15p. M.JANICKI, J.H.COLLET, Hot spots and core-to-core thermal coupling in Annual IEEE Semiconductor Thermal Measurement A.NAPIERALSKI future multi-core architectures and Management Symposium ( SEMI-THERM ) 2010 du 21 février au 25 février 2010, Santa Clara (USA), 21-25 Février 2010, 5p. D.MARTIN-GUILLEREZ, A UML-based method for risk analysis of human-2nd International Workshop on Software J.GUIOCHET, D.POWELL, robot interaction Engineering for Resilient Systems (SERENE '10), C.ZANON Londres (GB), 15-16 Avril 2010, pp.32-41 V.ALBERT, A.NKETSA, C.SEGUIN Verifying Trace INclusion between an Symposium on Theory of Modeling and Simulation Experimental Frame and a Model (DEVS'10), Orlando (USA), 12-15 Avril 2010, 8p. Z.CHEN, G.MOTET Nevertrace claims for model checking International SPIN Workshop on Model Checking of Software ( SPIN ) 2010 du 27 septembre au 29 septembre 2010, Enschede (Pays Bas), Septembre 2010, 18p. Z.CHEN, G.MOTET Towards better support fot the evolution of ACM/IEEE International Conference on Software safety requirements Engineering ( ICSE ) 2010 du 02 mai au 08 mai 2010, Cape Town (Afrique du Sud), Mai 2010, 4p. Z.CHEN, G.MOTET Separating functional and dependability IEEE International Conference on Embedded requirements of embedded systems Software and Systems ( ICESS ) 2010 du 29 juin au 01 juillet 2010, Bradford (UK), Juin 2010, 8p. B.BERTHOMIEU, J.P.BODEVEIX, Formal verification of AADL models with Fiacre Embedded Real Time Software and Systems ( S.DAL ZILIO, P.DISSAUX, and Tina ERTS² ) 2010 du 19 mai au 21 mai 2010, Toulouse M.FILALI, P.GAUFILLET, S.HEIM, (France), Mai 2010, 9p. F.VERNADAT R.GUILLERM, H.DEMMOU, Information model for model driven design of International Conference onComplex Systems N.SADOU complex system based on system engineering Design and Management (CSDM 2010), Paris approach (France), 27-29 Octobre 2010, pp.99-111 R.GUILLERM, H.DEMMOU, Engineering dependability requirements for IEEE international Systems Conference, San Diego N.SADOU complex systems - A new information model (USA) , 5-8 Avril 2010, pp.149-152 definition R.GUILLERM, H.DEMMOU, Safety evaluation of complex system. Integration IEEE International Systems Conference 2010, San N.SADOU in system engineering process Diego (USA), 5-8 Avril 2010, 4p. M.O.KILLIJIAN, M.ROY, Beyond San Francisco Cabs: building a *-lity Workshop on the Analysis of Mobile Phone G.TREDAN mining dataset for social traces analysis Networks (NetMob). Satellite of NetSci 2010, Cambridge (USA), 11 Mai 2010, 6p. M.LASTAPIS, C.ESCRIBA, Blade recorder microsystem design and European Workshop on Structural Health Monitoring Y.GRONDIN, G.AURIOL, P.AMAT, validation for aeronautical health monitoring (EWSHM 2010), Sorrento (Italie), 29 Juin - 2 Juillet S.ANDRIEU, J.STRAK, 2010, pp.100-105 J.L.BOIZARD, J.Y.FOURNIOLS V.ALBERT, F.ARMANDO, Sparkles for the validation models for International Conference of Modeling and Simulation M.BAYOUDH, F.PERROT, communicating hybrid systems ( MOSIM'10), Hammamet (Tunisie), 10-12 Mai 2010, L.TRAVE-MASSUYES 9p. Scientific Production — 7 MAI10246 MAI10249 MAI10250 C.E.GOMEZ CARDENAS, J.C.PASCAL, P.ESTEBAN, Y.DELERIS, J.R.DEVATINE R.GUILLERM, H.DEMMOU, N.SADOU D.MARTIN-GUILLEREZ, J.FANCHON MAI10257 T.BOCHOT, P.VIRELIZIER, H.WAESELYNCK, V.WIELS MAI10275 C.E.GOMEZ CARDENAS, J.F.JIMENEZ VARGAS, J.C.PASCAL, P.ESTEBAN I.ALBERDI, V.NICOMETTE, P.OWEZARSKI MAI10291 MAI10304 J.C.FABRE, M.O.KILLIJIAN, T.PAREAUD MAI10309 C.E.GOMEZ CARDENAS, J.C.PASCAL, J.F.JIMENEZ VARGAS, P.ESTEBAN S.GAMBS, M.O.KILLIJIAN, M.NUNEZ DEL PRADO MAI10350 MAI10354 D.MARTIN-GUILLEREZ, J.GUIOCHET, D.POWELL MAI10364 A.AL SHEIKH, O.BRUN, P.E.HLADIK MAI10386 MAI10447 MAI10479 MAI10520 MAI10530 MAI10566 MAI10629 MAI10633 MAI10634 MAI10651 MAI10706 Embedded systems requirements verification Embedded Real Time Software and Systems using HiLeS designer (ERTS2 2010), Toulouse (France), 19-21 Mai 2010, 6p. Combining FMECA and fault trees for declining European Safety & Reliability Conference (ESREL safety requirements of complex systems 2011), Troyes (France), 18-22 Septembre 2011, 8p. Discrete-time simulator for wireless mobile International Workshop on Intelligent Sensor and agents Wireless Networks (IntelNet 2010), Bradford (UK), 29 Juin - 1 Juillet 2010, pp.779-784 Paths to property violation: a structural approach International Symposium on High Assurance for analyzing counter-examples Systems Engineering (HASE 2010), San José (USA), 1-4 Novembre 2010, pp.74-83 HiLeS designer: a modeling tool for embedded International Conference on production Research systems design validation (ICPR 2010), Bogota (Colombie), 21-23 Juillet 2010, 6p. Luth: composing and parallelizing midpoint International Conference on Network and System inspection devices Security (NSS 2010), Melbourne (Australie), 1-3 Septembre 2010, pp.9-16 Towards on-line adaptation of fault tolerance European Dependable Computing Conference mechanisms (EDCC 2010), Valence (Espagne), 28-30 Avril 2010, pp.45-54 Heterogeneous systems verification on HiLeS Annual Conference of the IEEE Industrial Electronics designer tool Society (IECON 2010), Pheonix (USA), 7-10 Novembre 2010, 6p. GEPETO: a GEoPrivacy-Enhancing TOlkit International Workshop on Advances in Mobile Computing and Applications: Security, Privacy and Trust, in conjunction with International Conference on Advanced Information Networking and Applications ( AINA ) 2010 du 20 avril au 23 avril 2010, Perth (Australie), 20-23 Avril 2010, pp.10711076 Experience with a model-based safety analysis IARP Workshop on Technical Challenges for process for an autonomous service robot Dependable Robots in Human Environments (DRHE 2010), Toulouse (France), 16-17 Juin 2010, pp.1-8 Partition scheduling on an IMA platform with International Conference on Real-Time and Network strict periodicity and communication delays Systems (RNTS 2010), Toulouse (France), 4-5 Novembre 2010, pp.179-188 R.AKROUT, A.DESSIATNIKOFF An attack-goal driven approach for web European Dependable Computing Conference applications security assessment (EDCC 2010), Valence (Espagne), 28-30 Avril 2010, pp.47-48 F. LONE SANG, E.LACOMBE, Exploiting an I/OMMU vulnerability International Conference on Malicious and V.NICOMETTE, Y.DESWARTE Unwanted Software (MALWARE 2010), Nancy (France), 19-20 Octobre 2010, pp.9-16 T.CORREA, L.B.BECKER, Verification based development process for Embedded Real Time Software and Systems J.P.BODEVEIX, J.M.FARINES, embedded systems (ERTS2 2010), Toulouse (France), 19-21 Mai 2010, M.FILALI, F.VERNADAT 10p. H.WAESELYNCK, Z.MICSKEI, TERMOS: a formal language for scenarios in International Conference on Mobile and Ubiquitous N.RIVIERE, A.HAMVAS, I.NITU mobile computing systems Systems: Computing Networking and Services (MobiQuitous 2010), Sydney (Australie), 6-9 Décembre 2010, 12p. A.TANKEU-CHOITAT, An approach for assessing both usability and HCI-AERO 2010, Cape canaveral (USA), 3-5 D.NAVARRE, P.PALANQUE, dependability of interactive systems: application Novembre 2010, 11p. Y.DELERIS, J.C.FABRE to interactive cockpits S.GAMBS, M.O.KILLIJIAN, Show me how you move and I will tell you who ACM SIGSPATIAL International Workshop on M.NUNEZ DEL PRADO you are Security and Privacy in GIS and LBS (SPRINGL 2010), San Jose (USA), 2 Novembre 2010, 6p. J.VERRIES, A.E.K.SAHRAOUI Case study on SYSML-AMS for designing and International Conference on Computer Science and validation systems Applications - World Congress on Engineering & Computer Science ( ICCSA - WCECS ) 2013 du 23 octobre au 25 octobre 2013, San Francisco (USA), Octobre 2013, pp.90-95 J.VERRIES, A.E.K.SAHRAOUI Design rationales in bridgening gap from International Conference on Software and Systems requirements to logical/physical solution Engineering and their Applications (ICSSEA 2011), Paris (France), 29 Novembre - 1 Décembre 2011, 8p. A.YAHIAOUI, A.E.K.SAHRAOUI Systems engineering based design International Conference on Software and Systems infrastructure for distributed control and building Engineering and their Application ( ICSSEA ) 2012 performance simulations du 23 octobre au 25 octobre 2012, Paris (France), 2012, 10p. M.LASTAPIS, C.ESCRIBA, Embedded blade microsystem and events Asia Pacific Workshop on Structural Health G.AURIOL, E.ALBU, P.BERTHOU, recorder for drone structural health monitoring Monitoring (APWSHM 2010), Tokyo (Japon), 30 J.L.BOIZARD, J.M.DILHAC, Novembre - 2 Décembre 2010, 9p. J.Y.FOURNIOLS J.ARLAT, R.MORAES Collecting, analyzing and archiving results from Latin-American Symposium on Dependable fault injection experiments Computing (LADC 2011), São José dos Campos (Brésil), 25-29 Avril 2011, pp.100-105 Scientific Production — 8 MAI10750 R.SAAD, S.DAL ZILIO, B.BERTHOMIEU A gnereral lock-free algorithm for parallel state International Workshop on Parallel and Distributed space construction Methods in Verification (PDMC 2010), Twente (Pays Bas), 27 Septembre - 2 Octobre 2010, pp.8-16 MAI10773 J.C.FABRE, M.O.KILLIJIAN, F.TAIANI J.GUIOCHET, D.MARTINGUILLEREZ, D.POWELL Robustness of automative applications using reflective computing: lessons learnt Experience with model-based user-centered risk assessment for service robots MAI10785 MAI10786 T.ROBERT, M.ROY, J.C.FABRE MAI10866 M.O.KILLIJIAN, M.ROY, G.SEVERAC MAI10953 T.CORREA, L.B.BECKER, J.M.FARINES, J.P.BODEVEIX, M.FILALI, F.VERNADAT G.VACHE, V.NICOMETTE, M.KAANICHE MAI11044 MAI11055 A.AL SHEIKH, O.BRUN, P.E.HLADIK, B.PRABHU MAI11119 S.POULDING, J.A.CLARK, H.WAESELYNCK MAI11125 K.TIASSOU, K.KANOUN, M.KAANICHE, C.SEGUIN, C.PAPADOPOULOS J.LAURET, J.C.FABRE, H.WAESELYNCK F. LONE SANG, V.NICOMETTE, Y.DESWARTE J.H.COLLET, J.FANCHON MAI11131 MAI11143 MAI11180 MAI11199 MAI11228 MAI11230 MAI11298 MAI11299 MAI11322 MAI11323 MAI11338 MAI11339 MAI11343 MAI11345 MAI11364 K.TIASSOU, K.KANOUN, M.KAANICHE, C.SEGUIN, C.PAPADOPOULOS A.TANKEU-CHOITAT, J.C.FABRE, P.PALANQUE, D.NAVARRE, Y.DELERIS M.STOICESCU, J.C.FABRE, M.ROY ACM Symposium on Applied Computing (SAC 2011), Taichung (Taiwan), 21-24 Mars 2011, 6p. International High Assurance Systems Engineering Symposium (HASE 2010), San Jose (USA), 1-4 Novembre 2010, 10p. Early error detection for fault tolerance International Conference on Real-Time Systems strategies (RTNS 2010), Toulouse (France), 4-5 Décembre 2010, 10p. ARUM: a cooperative middleware and an IEEE International Conference on Wireless and experimentation platform for mobile systems Mobile Computing, Networking and Communications (WiMob 2010), Niagara Falls (Canada), 11-13 Octobre 2010, 9p. Supporting the design of safety critical systems International Conference on Engineering of Complex using AADL Computer Systems (ICECCS'2010), Oxford (UK), 2226 Mars 2010, 6p. Security-related vulnerability life cycle analysis International Conference on Risks and Security of Internet and Systems ( CRiSIS ) 2012 du 10 octobre au 12 octobre 2012, Cork (Irelande), 2012, 14p. A best-response algorithm for multiprocessor Euromicro Conference on Real-Time Systems periodic scheduling (ECRTS 2011), Porto (Portugal), 5-8 Juillet 2011, pp.228-237 A principled evaluation of the effect of directed International Workshop on Search-Based Software mutation on search-based statistical testing Testing (SBST 2011), Berlin (Allemagne), 21 Mars 2011, 10p. Operational reliability of an aircraft with adaptive European Workshop on Dependable Computing missions (EWDC 2011), Pise (Italie), 11-12 Mai 2011, 5p. Detecting interferences in aspect oriented programs I/O attacks in intel PC-based architectures and countermeasures Spatial self-reorganization of repulsive mobile agents Modeling aircrafts operational reliability Self-checking widgets for interactive cockpits European Workshop on Dependable Computing (EWDC 2011), Pise (Italie), 11-12 Mai 2011, 6p. SysSec Workshop, Amsterdam (Pays Bas), 6 Juillet 2011, pp.18-25 International Conference on Swarm Intelligence (ICSI 2011), Cergy Pontoise (France), 14-15 Juin 2011, 10p. International Conference on Computer Safety, Reliability ans Security (SAFECOMP 2011), Naples (Italie), 19-22 Septembre 2011, pp.157-170 European Workshop on Dependable Computing (EWDC 2011), Pise (Italie), 11-12 Mai 2011, 6p. Architecting resilient computing systems: overall International Workshop on Software Engineering for approach and open issues Resilient Systems (SERENE 2011), Genève (Suisse), 29-30 Septembre 2011, pp.48-62 A.E.K.SAHRAOUI On emergent properties when complexity is International Conference on Complex Systems dealt with simplicity: a case study in co- (ICCS 2011), Boston Marriott (USA), 26 Juin - 1 simulation Juillet 2011, 11p. R.GUILLERM, H.DEMMOU, Approche pour la déclinaison des exigences de Congrès International de Génie Industriel (CIGI N.SADOU sûreté des systèmes complexes 2011), Saint Sauveur (Canada), 12-14 Octobre 2011, 8p. V.SHUKLA, G.AURIOL, C.BARON Use of nagation to improve understandability of Complex Systems Design & Management (CSDM natural language requirements 2011), Paris (France), 7-9 Décembre 2011, 12p. V.SHUKLA, G.AURIOL, C.BARON A graph based requirement traceability International Conference on Software Engineering maintenance model Advances (ICSEA 2011), Barcelone (Espagne), 2328 Octobre 2011, pp.161-165 X.ZHANG, G.AURIOL, A value-centric QFD for establishing Intrernational Conference on Engineering Design A.MONCEAUX, C.BARON requirements specification (ICED 2011), Copenhague (Danemark), 15-18 Août 2011, 10p. M.MESSAADIA, B.EYNARD, PLM as a tool for supporting industry IFAC Symposium on Information Control Problems A.E.K.SAHRAOUI collaboration in Manufacturing (INCOM 2012), Bucarest (Roumanie), 23-25 Mai 2012, 7p. A.DESSIATNIKOFF, R.AKROUT, A clustering approach for web vulnerabilities IEEE Pacific Rim International Symposium on E.ALATA, M.KAANICHE, detection Dependable Computing (PRDC 2011), Pasadena V.NICOMETTE (USA), 12-14 Décembre 2011, pp.194-203 D.FOURES, V.ALBERT, ActivityDiagram2PetriNet: transformation-based European Simulation and Modelling Conference J.C.PASCAL model in accordance with the OMG sysml (ESM'2011), Guimarães (Portugal), 24-26 Octobre specifications 2011, pp.429-434 N.ABID, S.DAL ZILIO, D.LE Real-time specification patterns and tools International Workshop on Formal Methods for BOTLAN Industrial Critical Systems (FMICS 2012), Paris (France), 27-28 Août 2012, 16p. Scientific Production — 9 MAI11365 N.ABID, S.DAL ZILIO, D.LE BOTLAN MAI11382 MAI11423 M.LASTERA, E.ALATA, J.ARLAT, Y.DESWARTE, D.POWELL, B.LECONTE, C.SIMACHE R.GUILLERM, A.E.K.SAHRAOUI MAI11432 J.ARLAT MAI11460 R.SAAD, S.DAL ZILIO, B.BERTHOMIEU MAI11509 A.MEKKI-MOKHTAR, J.P.BLANQUART, J.GUIOCHET, D.POWELL, M.ROY Q.A.DO HOANG, J.GUIOCHET, D.POWELL, M.KAANICHE MAI11531 MAI11547 MAI11571 A.TANKEU-CHOITAT, D.NAVARRE, P.PALANQUE, Y.DELERIS, J.C.FABRE, C.FAYOLLAS X.ZHANG, G.AURIOL, C.BARON, V.SHUKLA MAI11580 V.ALBERT, A.NKETSA MAI11591 J.H.COLLET, A.LOURI, V.TULSIDAS, P.POLURI MAI11680 A.AL SHEIKH, O.BRUN, P.E.HLADIK, B.PRABHU MAI11681 J.M.FARINES, M.H.DE QUEIROZ, V.G.DA ROCHA, A.M.M.CARPES, F.VERNADAT, X.CREGUT A.JAKJOUD, M.ZRIKEM, A.AYADI, C.BARON, G.AURIOL MAI11729 MAI11730 MAI11731 MAI11732 A.ROCHD, M.ZRIKEM, A.AYADI, C.PERCEBOIS, T.MILLAN, C.BARON A.ROCHD, M.ZRIKEM, A.AYADI, T.MILLAN, C.PERCEBOIS, C.BARON X.ZHANG, G.AURIOL, C.BARON, A.MONCEAUX MAI11804 M.O.KILLIJIAN, M.ROY, G.SEVERAC MAI11837 S.GAMBS, M.O.KILLIJIAN, M.NUNEZ DEL PRADO J.ARLAT, C.CONSTANTINESCU, J.KARLSSON, T.NANYA, A.WOOD MAI11849 MAI11850 M.TOKORO, K.KANOUN, K.KURAMITSU, J.C.FABRE MAI11861 E.LE MERRER, G.TREDAN MAI11886 X.ZHANG, G.AURIOL, C.BARON MAI11896 Z.CHEN, G.MOTET A verified approach for checking real-time International Workshop on Verification and specification patterns Evaluation of Computer and Communication Systems (VECoS 2012), Paris (France), 27-28 Août 2012, 19p. Characterization of hypervisors for security- SAE AeroTech Congress & Exhibition 2011, enhanced avionics applications Toulouse (France), 18-21 Octobre 2011, 6p. Integrating reactive systems design in systems International Conference on Systems Engineering of systems framework and Engineering Management - World Congress on Engineering & Computer Science ( ICSEEM WCECS ) 2013 du 23 octobre au 25 octobre 2013, San Francisco (USA), Octobre 2013, pp.1063-1068 Dependable computing and assessment Zuverlässigkeit und Entwurf (ZuE 2011). Reliability dependability and Design, Hambourg (Allemagne), 27-29 Septembre 2011, 8p. Mixed shared-distributed hash tables International Symposium on Parallel and Distributed approaches for parallel state space construction Computing (ISPDC 2011) , Cluj-Napoca (Roumanie), 6-8 Juillet 2011, 8p. Elicitation of executable safety rules for critical Embedded Real Time Software and Systems autonomous systems (ERTS2 2012), Toulouse (France), 1-3 Février 2012, 10p. Human-robot interactions: model-based risk Embedded Real Time Software and Systems analysis and safety case construction (ERTS2 2012), Toulouse (France), 1-3 Février 2012, 4p. Self-checking components for dependable IEEE Pacific Rim International Symposium on interactive cockpits using formal description Dependable Computing (PRDC 2011), Pasadena techniques (USA), 12-14 Décembre 2011, 11p. How to think about customer value in International Conference on Software Engineering requirements engineering Advances (ICSEA 2011), Barcelone (Espagne), 2328 Octobre 2011, pp.483-486 An objective driven framework for discrete event European Simulation and Modelling Conference simulation of continuous systems (ESM'2011), Guimarães (Portugal), 24-26 Octobre 2011, pp.434-441 ROBUST: a new self-healing fault-tolerant NoC International Workshop on Network on Chip Router Architectures (NoCArc 2011), Porto Alegre (Brésil), 4 Décembre 2011, 6p. Strictly periodic scheduling on an IMA-based Austrian French German Conference on avionic platform Optimization (AFG 2011), Toulouse (France), 19-23 Septembre 2011, 3p. A model driven engineering approach to formal Emerging Technologies and Factory Automation verification of PLC programs (ETFA'2011), Toulouse (France), 5-9 Septembre 2011, 8p. SysPEM: proposing a coherent model for IEEE Conference on Computer Applications and systems engineering processes Industrial Electronics (ICCAIE 2011), Penang (Malaisie), 4-7 écembre 2011, 6p. Towards a synchronization model between IEEE Conference on Computer Applications and activities and products within a software Industrial Electronics (ICCAIE 2011), Penang development process (Malaisie), 4-7 Décembre 2011, 6p. SynchSPEM: a synchronization metamodel IEEE Conference on Computer Applications and between activities and products within a SPEM- Industrial Electronics (ICCAIE 2011), Penang based software development process (Malaisie), 4-7 Décembre 2011, 6p. Value measurement in the voice of the customer International Conference on Management Science and Engineering (ICMSE 2011), Rome (Italie), 13-15 Septembre 2011, 8p. The ARUM experimentation platform : an open International Symposium on Autonomous Minirobots tool to evaluate mobile systems applications for Research and Edutainment (AMiRE 2011), Bielefeld (Allemagne), 23-25 Mai 2011, 15p. Towards temporal mobility markov chains International Workshop on Dynamicity (DYNAM 2011), Toulouse (France), 12 Décembre 2011, 2p. Introduction to the fifth workshop on dependable International Conference on Dependable Systems and secure nanocomputing and Networks Workshops (DSN-W 2011) , Hong Kong (Chine), 27-30 Juin 2011, pp.39-40 WOSD 2011 the first international workshop on International Conference on Dependable Systems & open systems dependability Networks (DSN 2011), Hong Kong (Chine), 27-30 Juin 2011, pp.593-594 Towards dynamic graph analysis: a position note International Workshop on Dynamicity (DYNAM 2011), Toulouse (France), 12 Décembre 2011, 2p. A prescriptive approach to understand customer IEEE International Conference on Industrial needs using value-focused thinking Engineering and Engineering Management ( IEEM ) 2011 du 06 décembre au 09 décembre 2011, Singapour (Singapour), Décembre 2011, pp.13141319 Methodology and experience for designing International Conference on Dependability ( safety-related systems in IEC 61508 DEPEND ) 2011 du 21 août au 27 août 2011, Nice (France), Août 2011, 8p. Scientific Production — 10 MAI12013 MAI12045 MAI12080 MAI12095 R.LUDINARD, E.TOTEL, F.TRONEL, V.NICOMETTE, M.KAANICHE, E.ALATA, R.AKROUT, Y.BACHY K.TIASSOU, K.KANOUN, M.KAANICHE, C.SEGUIN, C.PAPADOPOULOS M.LASTERA, E.ALATA, J.ARLAT, Y.DESWARTE, D.POWELL, B.LECONTE, C.SIMACHE V.SHUKLA, G.AURIOL, C.BARON MAI12099 D.FOURES, V.ALBERT, J.C.PASCAL, A.NKETSA MAI12100 D.POWELL, J.ARLAT, H.CHU, F.INGRAND, M.O.KILLIJIAN MAI12112 MAI12138 MAI12141 MAI12142 MAI12202 MAI12232 MAI12255 MAI12260 MAI12275 MAI12279 MAI12280 MAI12301 MAI12365 MAI12369 MAI12400 MAI12409 Detecting attacks applications against data in web International Conference on Risks and Security of Internet and Systems ( CRiSIS ) 2012 du 10 octobre au 12 octobre 2012, Cork (Irelande), 2012, 12p. Online model adaptation for aircraft operational Embedded Real Time Software and Systems reliability assessment (ERTS2 2012), Toulouse (France), 1-3 Février 2012, 11p. Secure architecture for information systems in Embedded Real Time Software and Systems avionics (ERTS2 2012), Toulouse (France), 1-3 Février 2012, 7p. Integrated requirement traceability, multiview IEEE International Systems Conference, Vancouver modeling and decision-making (Canada), 19-22 Mars 2012, pp.406-410 Automation of SysML activity diagram simulation Spring Simulation Multiconference (SpringSim'12), with model-driven engineering approach Orlando (USA), 26-29 Mars 2012, 8p. Testing the input timing robustness of real-time European Dependable Computing Conference control software for autonomous systems (EDCC 2012), Sibiu (Roumanie), 8-11 Mai 2012, 11p. D.FOURES, V.ALBERT, Formal compatibility of experimental frame International Conference on Modeling Optimization A.NKETSA concept and finite and deterministic DEVS & SIMulation (MOSIM 2012), Bordeaux (France), 6-8 model Juin 2012, 10p. V.SHUKLA, G.AURIOL, C.BARON, Comprehensive requirement traceability INCOSE International Symposium 2012, Rome X.ZHANG information and relations in project life-cycle (Italie), 9-12 Juillet 2012, 15p. Q.ZHANG, I.DENIAUD, Descriptive model for interpreting innovative International Design Conference (Design 2012), E.CAILLAUD, C.BARON design Dubrovnik (Croatie), 21-24 Mai 2012, pp.343-353 Q.ZHANG, I.DENIAUD, Analysis and model of systematic innovation for International Symposium on Tools and Methods of E.CAILLAUD, C.BARON design Competitive Engineering (TMCE 2012), Karlsruhe (Allemagne), 7-11 Mai 2012, 10p. M.STOICESCU, J.C.FABRE, Experimenting with component-based European Dependable Computing Conference ( M.ROY middleware for adaptive fault tolerant computing EDCC ) 2012 du 08 mai au 11 mai 2012, Sibiu (Roumanie), 8-11 Mai 2012, 2p. G.VACHE, M.KAANICHE, A model-based security assessment approach: International Workshop on Verification and V.NICOMETTE vulnerability life-cycle, attacker behavior and Evaluation of Computer and Communication administrator profile Systems (VECoS 2012), Paris (France), 27-28 Août 2012, pp.60-71 S.GAMBS, M.O.KILLIJIAN, Locanyms: towards privacy-preserving location- European Workshop on AppRoaches to M.ROY, M.TRAORE based services MObiquiTous Resilience, European Dependable Computing Conference ( ARMOR-EDCC ) 2012 du 08 mai au 11 mai 2012, Sibiu (Roumanie), 8 -11 Mai 2012, 6p. A.YAHIAOUI, A.E.K.SAHRAOUI A framework for distributed control and buildings International Conference on Collaboration performance simulation Technologies and Infrastructures (IEEE WETICE 2012), Toulouse (France), 25-27 Juin 2012, pp.232237 Q.ZHANG, I.DENIAUD, Modelling innovative design using systems International Conference on Modeling Optimization E.CAILLAUD, C.BARON engineering & SIMulation (MOSIM 2012), Bordeaux (France), 6-8 Juin 2012, pp.68-78 V.SHUKLA, G.AURIOL, C.BARON, Intelligent system design tool: a comprehensive International Conference on Software and Systems D.ESTEVE, J.C.PASCAL, PDM/PLM tool Engineering and their Application ( ICSSEA ) 2012 P.ESTEBAN, M.MALBERT du 23 octobre au 25 octobre 2012, Paris (France), 2012, 2p. V.SHUKLA, G.AURIOL, C.BARON, Empowering graph model of game theory for International Conference on Software and Systems H.DEMMOU system design Engineering and their Application ( ICSSEA ) 2012 du 23 octobre au 25 octobre 2012, Paris (France), 2012, 5p. M.STOICESCU, J.C.FABRE, From design for adaptation to component-based IEEE Pacific Rim International Symposium on M.ROY resilient computing Dependable Computing ( PRDC ) 2012 du 18 novembre au 19 novembre 2012, Niigata (Japon), 2012, 10p. N.ABID, S.DAL ZILIO A verified approach to checking real-time Doctoral Symposium of FM 2012, Paris (France), 27patterns on fiacre programs 31 Août 2012, pp.13-23 A.GUDUVAN, H.WAESELYNCK, A meta-model for tests of avionic embedded International Conference on Model-Driven V.WIELS, G.DURRIEU, systems Engineering and Software Development ( Y.FUSERO, M.SCHIEBER MODELSWARD ) 2013 du 19 février au 21 février 2013, Barcelone (Espagne), Février 2013, 16p. R.SAAD, S.DAL ZILIO, An experiment on parallel model checking of a International Symposium on Automated Technology B.BERTHOMIEU CTL fragment for Verification and Analysis ( ATVA ) 2012 du 03 octobre au 06 octobre 2012, Thiruvananthapuram (Inde), Octobre 2012, 15p. S.GAMBS, M.O.KILLIJIAN, De-anonymization attack on geolocated IEEE International Conference on Trust, Security M.NUNEZ DEL PRADO datasets and Privacy in Computing and Communications ( IEEE TrustCom ) 2013 du 16 juillet au 18 juillet 2013, Melbourne (Australie), Prix IEEE Best Student Paper Award , Juillet 2013, 12p. Scientific Production — 11 MAI12417 A.YAHIAOUI, A.E.K.SAHRAOUI MAI12464 I.STUDNIA, V.NICOMETTE, M.KAANICHE, E.ALATA K.TIASSOU, K.KANOUN, M.KAANICHE, C.SEGUIN, C.PAPADOPOULOS R.PASQUA, D.FOURES, V.ALBERT, A.NKETSA MAI12474 MAI12481 MAI12495 N.GUERMOUCHE, S.DAL ZILIO MAI12517 A.MEKKI-MOKHTAR, J.P.BLANQUART, J.GUIOCHET, D.POWELL, M.ROY MAI12539 S.GAMBS, M.O.KILLIJIAN, M.NUNEZ DEL PRADO MAI12573 Y.A.PIGNOLET, S.SCHMID, G.TREDAN MAI12581 C.FAYOLLAS, J.C.FABRE, D.NAVARRE, P.PALANQUE, Y.DELERIS MAI12607 J.LAURET, H.WAESELYNCK, J.C.FABRE MAI12642 C.ARTIGUES, Y.DESWARTE, J.GUIOCHET, M.J.HUGUET, M.O.KILLIJIAN, D.POWELL, M.ROY, C.BIDAN, N.PRIGENT, E.ANCEAUME, S.GAMBS, G.GUETTE, M.HURFIN, F.SCHETTINI J.GUIOCHET, Q.A.DO HOANG, M.KAANICHE, D.POWELL MAI12643 MAI12657 I.STUDNIA, E.ALATA, Y.DESWARTE, M.KAANICHE, V.NICOMETTE MAI12692 E.ALATA, M.KAANICHE, V.NICOMETTE, R.AKROUT MAI12847 X.ZHANG, G.AURIOL, H.ERES, C.BARON, M.KOSSMANN MAI13060 A.GUDUVAN, H.WAESELYNCK, V.WIELS, G.DURRIEU, Y.FUSERO, M.SCHIEBER MAI13063 P.ANDRE, N.RIVIERE, H.WAESELYNCK MAI13064 P.ANDRE, H.WAESELYNCK, N.RIVIERE MAI13082 V.SHUKLA, K.W.HIPEL, G.AURIOL MAI13117 L.PINTARD, J.C.FABRE, K.KANOUN, M.LEEMAN, M.ROY Integrating collaborative distributed simulation Complex Systems Design & Management ( CSD&M for building automation and control systems ) 2012 du 12 décembre au 14 décembre 2012, Paris (France), 2012, pp.298-310 A distributed plateform of high interaction Privacy Security Trust (PST 2012), Paris (France), honeypots and experimental results 16-18 Juillet 2012, 2p. Impact of operational reliability re-assessment International Symposium on Reliable Distributed during aircraft missions Systems ( SRDS ) 2012 du 08 octobre au 11 octobre 2012, Irvine (USA), 2012, 6p. From sequence diagrams UML 2.x to FD-DEVS European Simulation and Modelling Conference ( by model transformation ESMC ) 2012 du 22 octobre au 24 octobre 2012, Essen (Allemagne), 2012, pp.37-43 Towards timed requirement verification for IEEE International Conference on Collaborative service choreographies Computing: Networking, Applications and Worksharing ( COLLABORATECOM ) 2012 du 14 octobre au 17 octobre 2012, Pittsburgh (USA), Octobre 2012, 10p. Safety trigger conditions for critical autonomous IEEE Pacific Rim International Symposium on systems Dependable Computing ( PRDC ) 2012 du 18 novembre au 19 novembre 2012, Niigata (Japon), 2012, 10p. Next place prediction using mobility Markov Eurosys 2012 Workshop on Measurement Privacy chains and Mobility (MPM 2012), Bern (Suisse), 10 Avril 2012, 6p. Brief Announcement: Do VNet embeddings leak International Symposium on Distributed Computing ( information about ISP topology ? DISC ) 2012 du 16 octobre au 18 octobre 2012, Salvador (Brésil), LNCS 7611, Springer verlag, pp.434-435 Fault-tolerant interactive cockpits for critical International Workshop on Software Engineering for applications: overall approach Resilient Systems ( SERENE ) 2012 du 27 septembre au 28 septembre 2012, Pise (Italie), Pise (Italie), 15p. Detection of interferences in aspect-oriented International Workshop on Program Debugging ( programs using executable assertions IWPD ) 2012 du 27 novembre au 30 novembre 2012, Dallas (USA), Novembre 2012, 7p. AMORES: an Architecture for MObiquitous European Dependable Computing Conference REsilient Systems (EDCC) - 1st European Workshop on AppRoaches to MObiquiTous Resilience ( EDCC - ARMOR ) 2012 du 08 mai au 11 mai 2012, Sibiu (Roumanie), Mai 2012, 6p. Applying existing standards to a medical Intelligent Robots and Systems - Workshop FW5: rehabilitation robot: limits and challenges Safety in Human-Robot Coexistence & Interaction: How can Standardization and Research benefit from each other? ( IROS ) 2012 du 07 octobre au 12 octobre 2012, Algarve (Portugal), 2012, 6p. Survey of security problems in cloud computing Computer & Electronics Security Applications virtual machines Rendez-vous ( CESAR ) 2012 du 20 novembre au 22 novembre 2012, Rennes (France), 2012, pp.6174 An automated vulnerability-based approach for Latin-American Symposium on Dependable web applications attack scenarios generation Computing ( LADC ) 2013 du 02 avril au 05 avril 2013, Rio de Janeiro (Brésil), Avril 2013, pp.78-85 Understanding airline's value perceptions for INCOSE International Symposium ( INCOSE ) 2012 value-based requirements engineering of du 09 juillet au 12 juillet 2012, Rome (Italie), Juillet commercial aircraft 2012, 15p. STELAE - A model driven test development IEEE International Symposium on environment for avionics systems Object/component/service-oriented/Real-time distributed Computing ( ISORC ) 2013 du 19 juin au 21 juin 2013, Paderborn (Allemagne), Juin 2013, 8p. GraphSeq revisited: more efficient search for European Workshop on Dependable Computing ( patterns in mobility traces EWDC ) 2013 du 15 mai au 16 mai 2013, Coimbra (Portugal), Mai 2013, 13p. A UML-based environment for test scenarios in International Conference on Computer, Information mobile settings and Telecommunication Systems ( CITS ) 2013 du 07 mai au 09 mai 2013, Athènes (Grèce), Mai 2013, 5p. Negotiation and conflict resolution in systems Group Decision and Negotiation ( GDN ) 2013 du engineering 17 juin au 21 juin 2013, Stockholm (Suède), Juin 2013, pp.59-66 Fault injection in the automotive standard ISO European Workshop on Dependable Computing ( 26262: an initial approach EWDC ) 2013 du 15 mai au 16 mai 2013, Coimbra (Portugal), Mai 2013, 8p. Scientific Production — 12 MAI13159 M.CHERAMY, A.M.DEPLANCHE, P.E.HLADIK MAI13168 V.SHUKLA, G.AURIOL MAI13169 V.SHUKLA, G.AURIOL MAI13175 Q.ENARD, M.STOICESCU, E.BALLAND, C.CONSEL, L.DUCHIEN, J.C.FABRE, M.ROY MAI13218 J.GUIOCHET, Q.A.DO HOANG, M.KAANICHE, D.POWELL MAI13228 B.Y.ALKAZEMI, M.K.NOUR, A.E.K.SAHRAOUI, A.P.LEE MAI13230 D.FOURES, V.ALBERT, A.NKETSA MAI13255 J.LAURET, J.C.FABRE, H.WAESELYNCK MAI13261 M.MACHIN, J.P.BLANQUART, J.GUIOCHET, D.POWELL, H.WAESELYNCK M.O.KILLIJIAN, M.ROY, G.TREDAN, C.ZANON MAI13262 MAI13288 A.E.K.SAHRAOUI MAI13313 I.STUDNIA, V.NICOMETTE, E.ALATA, Y.DESWARTE, M.KAANICHE, Y.LAAROUCHI MAI13314 H.MARTORELL, J.C.FABRE, M.ROY, R.VALENTIN MAI13328 A.BIT-MONNOT, C.ARTIGUES, M.J.HUGUET, M.O.KILLIJIAN MAI13330 I.STUDNIA, V.NICOMETTE, E.ALATA, Y.DESWARTE, M.KAANICHE, Y.LAAROUCHI MAI13393 R.XUE, C.BARON, P.ESTEBAN, H.DEMMOU MAI13405 A.DESSIATNIKOFF, E.ALATA, Y.DESWARTE, V.NICOMETTE MAI13406 A.DESSIATNIKOFF, V.NICOMETTE, E.ALATA, Y.DESWARTE S.POULDING, H.WAESELYNCK MAI13438 Simulation of real-time scheduling with overheads multiprocessor International Conference on Simulation and Modeling Methodologies, Technologies and Applications ( SIMULTECH ) 2013 du 29 juillet au 31 juillet 2013, Reykjavik (Islande), Juillet 2013, pp.514 Reinventing goal-based requirements modeling Complex Systems Design & Management ( CSD&M ) 2013 du 04 décembre au 06 décembre 2013, Paris (France), Décembre 2013, 12p. Methodology for determining stakeholders' Complex Systems Design & Management ( CSD&M criteria weights in systems engineering ) 2013 du 04 décembre au 06 décembre 2013, Paris (France), Décembre 2013, 13p. Design-driven development methodology for International ACM Sigsoft Symposium on resilient computing Component-Based Software Engineering ( CBSE ) 2013 du 17 juin au 21 juin 2013, Vancouver (Canada), Juin 2013 Model-based safety analysis of human-robot International Conference on Rehabilitation Robotics interactions: the MIRAS walking assistance ( ICORR ) 2013 du 24 juin au 26 juin 2013, Seattle robot (USA), Juin 2013, 7p. Characterizing reusable software components International Conference on Software Reuse ( CSR ) 2013 du 18 juin au 20 juin 2013, Pise (Italie), Juin 2013, 6p. Simulation validation using the compatibility Summer Simulation Multi-Conference ( SummerSim between simulation model and experimental ) 2013 du 07 juillet au 10 juillet 2013, Toronto framework (Canada), Juillet 2013, pp.720-726 Fine-grained implementation of fault tolerance International Conference on Computer Safety, mechanisms with AOP: to what extent ? Reliability and Security, Fast Abstracts ( SafeComp ) 2013 du 24 septembre au 27 septembre 2013, Toulouse (France), Septembre 2013, 12p. Specifying safety monitors for autonomous Safecomp FastAbstract 2013 du 25 septembre au systems 25 septembre 2013, Toulouse (France), Septembre 2013, 2p. SOUK: Social Observation of hUman Kinetics ACM International Joint Conference on Pervasive and Ubiquitous Computing ( UbiComp ) 2013 du 09 septembre au 12 septembre 2013, Zurich (Suisse), Septembre 2013, 4p. Managing complexity in requirement elicitation Complex Systems Design & Management ( CSD&M ) 2013 du 04 décembre au 06 décembre 2013, Paris (France), Décembre 2013, 10p. Security of embedded automotive networks: Workshop on Critical Automotive applications : state of the art and a research proposal Robustness and Safety - International Conference on Computer Safety, Reliability and Securit ( CARS SafeComp ) 2013 du 24 septembre au 27 septembre 2013, Toulouse (France), Septembre 2013, 6p. Towards dynamic updates in AUTOSAR Workshop on Critical Automotive applications : Robustness and Safety - International Conference on Computer Safety, Reliability and Securit ( CARS SafeComp ) 2013 du 24 septembre au 27 septembre 2013, Toulouse (France), Septembre 2013, 6p. Carpooling : the 2 synchronization points Workshop on Algorithmic Approaches for shortest paths problem Transportation Modelling, Optimization, and Systems ( ATMOS ) 2013 du 05 septembre au 05 septembre 2013, Sophia Antipolis (France), Septembre 2013, 12p. A survey of security threats and protection Annual IEEE/IFIP International Conference on mechanisms in embedded automotive networks Dependable Systems and Networks ( DSN ) 2013 du 24 juin au 27 juin 2013, Budapest (Hongrie), Juin 2013, 12p. Managing systems engineering processes: a Annual IEEE International Systems Conference ( multi-standard approach SysCon ) 2014 du 31 mars au 03 avril 2014, Ottawa (Canada), Mars 2014, 5p. Low-level attacks on avionics embedded International Conference on Computer Safety, systems Reliability and Security, Fast Abstracts ( SafeComp ) 2013 du 24 septembre au 27 septembre 2013, Toulouse (France), Septembre 2013, 8p. Securing integrated modular avionics Digital Avionics Systems Conference ( DASC ) 2013 computers du 06 octobre au 10 octobre 2013, Syracuse (USA), Octobre 2013, 10p. Adding contextual guidance to the automated IEEE International Conference on Software Testing, search for probabilistic test profiles Verification and Validation ( ICST ) 2014 du 31 mars au 04 avril 2014, Cleveland (USA), Mars 2014, 10p. Scientific Production — 13 MAI13504 MAI13518 MAI13536 MAI13556 MAI13569 MAI13598 MAI13622 MAI13643 Q.ZHANG, C.BARON, I.DENIAUD, Proposal of an activity-based adaptive process ASME International DesignEngineering Technical E.CAILLAUD model for innovative design Conferences and Computers and Information in Engineering Conference ( IDETC/CIE ) 2013 du 07 août au 07 août 2013, Portland (USA), Août 2013, 10p. S.GAMBS, M.O.KILLIJIAN, MapReducing GEPETO or towards conducting a International Symposium on Parallel & Distributed I.MOISE, M.NUNEZ DEL PRADO privacy analysis on millions of mobility traces Processing Workshops and PhD Forum ( IPDPSW ) 2013 du 20 mai au 24 mai 2013, Cambridge (USA), Mai 2013, pp.1937-1946 S.GAMBS, M.O.KILLIJIAN, Towards a recommender system for bush taxis Conference on the Analysis of Mobile Phone M.NUNEZ DEL PRADO, Datasets ( NetMob'13 ) 2013 du 01 mai au 03 mai 2013, Boston (USA), Mai 2013, 10p. M.TRAORE R.MORAES, H.WAESELYNCK, UML-based modeling of robustness testing IEEE International Symposium on High Assurance J.GUIOCHET Systems Engineering ( HASE ) 2014 du 09 janvier au 11 janvier 2014, Miami (USA), Janvier 2014, pp.168-175 A.ZAMMALI, A.DE BONNEVAL, Communication integrity for slow-dynamic International Conference on Computer Safety, Y.CROUZET critical embedded systems Reliability and Security, Fast Abstracts ( SafeComp ) 2013 du 24 septembre au 27 septembre 2013, Toulouse (France), Septembre 2013, 2p. C.FAYOLLAS Addressing dependability for interactive ACM SIGCHI Symposium on Engineering Interactive systems: application to interactive cockpits Computing Systems ( EICS ) 2013 du 24 juin au 27 juin 2013, Londres (UK), Juin 2013, 4p. T.PROBST, E.ALATA, M.KAANICHE, V.NICOMETTE, Y.DESWARTE R.GUILLERM, H.DEMMOU, N.SADOU An approach for security evaluation and analysis Safecomp FastAbstract 2013 du 25 septembre au in cloud computing 25 septembre 2013, Toulouse (France), Septembre 2013, 2p. Global safety management method in complex Complex Systems Design & Management ( CSD&M system engineering ) 2013 du 04 décembre au 06 décembre 2013, Paris (France), Décembre 2013, 12p. Adversarial VNet embeddings: a threat for ISPs Annual International Conference on Computer ? Communications ( IEEE INFOCOM ) 2013 du 14 avril au 19 avril 2013, Turin (Italie), Avril 2013, 5p. Request complexity of VNet topology extraction: International Conference on NETwork sYStems ( dictionary-based attacks NETYS ) 2013 du 02 mai au 04 mai 2013, Marrakech (Maroc), Mai 2013, 18p. Towards a privacy risk assessment methodology International Conference on Mobile and Ubiquitous for location-based systems Systems: Computing, Networking and Services ( MobiQuitous ) 2013 du 02 décembre au 04 décembre 2013, Tokyo (Japon), Décembre 2013, 6p. MAI13662 Y.A.PIGNOLET, S.SCHMID, G.TREDAN MAI13663 Y.A.PIGNOLET, S.SCHMID, G.TREDAN MAI13680 J.FRIGINAL, J.GUIOCHET, M.O.KILLIJIAN MAI13716 M.MARTINEZ, J.FRIGINAL, D.DE Open challenges in the resilience evaluation of European Workshop on Dependable Computing ( ANDRES, J.C.RUIZ-GARCIA ad hoc networks EWDC ) 2013 du 15 mai au 16 mai 2013, Coimbra (Portugal), Mai 2013, 4p. M.MARTINEZ, D.DE ANDRES, Analysis of results in dependability IEEE International Workshop on Measurements & J.C.RUIZ-GARCIA, J.FRIGINAL benchmarking: Can we do better? Networking ( IEEE M&N ) 2013 du 07 octobre au 08 octobre 2013, Naples (Italie), Octobre 2013, 5p. O.BALDELLON, J.C.FABRE, Minotor: monitoring timing and behavioral IEEE Pacific Rim International Symposium on M.ROY properties for dependable distributed systems Dependable Computing ( PRDC ) 2013 du 02 décembre au 04 décembre 2013, Vancouver (Canada), Décembre 2013, 10p. R.XUE, C.BARON, P.ESTEBAN How to choos a systems engineering standard: International Conference on Engineering Science analysis and comparison of current standards and Technology ( ICEST ) 2014 du 02 juin au 03 juin 2014, Beijing (Chine), Juin 2014, 24p. R.TURC, P.OWEZARSKI, Classification et caractérisation non supervisée Conférence sur la Sécurité des Architectures V.NICOMETTE des attaques vers des pots de miel Réseaux et Systèmes d'Information ( SAR-SSI ) 2014 du 13 mai au 16 mai 2014, Lyon (France), Mai 2014, 11p. C.FAYOLLAS, P.PALANQUE, A fault-tolerant software architecture and its Embedded Real Time Software and Systems ( J.C.FABRE, D.NAVARRE, formal specification for embedded real-time ERTS² ) 2014 du 05 février au 07 février 2014, interactive systems Toulouse (France), Février 2014, 9p. Y.DELERIS, A.HAMON M.STOICESCU, J.C.FABRE, From resilient computing architectural concepts European Dependable Computing Conference ( M.ROY, A.PATHAK to wireless sensor network-based applications EDCC ) 2014 du 13 mai au 16 mai 2014, Newcastle (UK), Mai 2014, 4p. R.GUILLERM, H.DEMMOU Safety management method in complex system Annual IEEE International Systems Conference ( engineering SysCon ) 2014 du 31 mars au 03 avril 2014, Ottawa (Canada), Mars 2014, 7p. R.XUE, C.BARON, P.ESTEBAN Integrating systems engineering with project INCOSE International Symposium ( INCOSE ) 2014 management: a current challenge ! du 30 juin au 03 juillet 2014, Las Vegas (USA), Juin 2014, 11p. L.PINTARD, J.C.FABRE, Fault injection and automotive development Embedded Real Time Software and Systems ( K.KANOUN, M.LEEMAN, M.ROY process ERTS² ) 2014 du 05 février au 07 février 2014, Toulouse (France), Février 2014, 9p. M.NUNEZ DEL PRADO, Geo-localisation inference attacks: from European Dependable Computing Conference ( J.FRIGINAL modelling to privacy risk assessment EDCC ) 2014 du 13 mai au 16 mai 2014, Newcastle (UK), Mai 2014, 5p. MAI13718 MAI13731 MAI13734 MAI14005 MAI14011 MAI14017 MAI14026 MAI14050 MAI14053 MAI14095 Scientific Production — 14 MAI14096 A.ROCHD, M.ZRIKEM, A.AYADI, C.PERCEBOIS, T.MILLAN, C.BARON B.BERTHOMIEU, S.DAL ZILIO, L.FRONC A two part solution for synchronizing activities and products within a software engineering process Model-checking real-time properties of an aircraft landing gear system using fiacre MAI14156 A.ZAMMALI, A.DE BONNEVAL, Y.CROUZET A multi-function error detection policy to enhance communication integrity in critical embedded systems MAI14174 R.XUE, C.BARON, P.ESTEBAN, D.ESTEVE, M.MALBERT Towards the success of design projects by the alignment of processes in collaborative engineering MAI14175 C.BARON, P.ESTEBAN, R.XUE, D.ESTEVE, M.MALBERT MAI14217 J.H.COLLET Specifications of a method and tool to support the management o systems engineering projects A brief overview of the challenges of the multicore roadmap MAI14411 H.MARTORELL, J.C.FABRE, M.ROY, R.VALENTIN [MAN] Ref Conferences with published proceedings -‐national-‐ Authors Title MAN08516 T.BOCHOT, P.VIRELIZIER, H.WAESELYNCK, V.WIELS MAN08723 F.PERES, P.E.HLADIK, F.VERNADAT MAN09060 A.M.DEPLANCHE, P.E.HLADIK MAN09064 E.LACOMBE, V.NICOMETTE, Y.DESWARTE MAN09089 G.VACHE MAN09146 R.GUILLERM, H.DEMMOU, N.SADOU MAN09227 C.ANDRE, M.BELAUNDE, B.BERTHOMIEU, C.BRUNETTE, A.CANALS, H.GARAVEL, S.GRAF, F.LANG, V.MAHE, M.NAKHLE, R.SCHNEKENBURGER, R.DE SIMONE, J.P.TALPIN, F.VERNADAT F.SAILHAN, T.DELOT, A.PATHAK, Fault injection and monitoring for dependability INFormatique des ORganisations et Systèmes A.PUECH, M.ROY analysis of wireless sensor-actuators networks d’Information et de Décision ( INFORSID ) 2010 du 26 mai au 28 mai 2010, Marseille (France), Mai 2010, 10p. G.VACHE, J.C.LAPRIE, Approche par les vulnérabilités pour l'évaluation Conférence sur la Sécurité des Architectures V.NICOMETTE quantitative de la sécurité Réseaux et Systèmes d'Information (SAR-SSI), Menton (France), 18-21 Mai 2010, 15p. Y.DESWARTE, S.GAMBS Une carte nationale d'identité préservant la vie Atelier Protection de la vie privée, Annecy (France), privée 26-27 Mai 2010, pp.102-107 P.E.HLADIK, F.PERES, X.SHI Analyse d'un modèle AADL à l'aide de Pola Approches Formelles dans l'Assistance au Développement de Logiciels (AFADL'2010), Poitiers (France), 9-11 Juin 2010, pp.239-243 T.BOCHOT, P.VIRELIZIER, STANCE: un outil d'analyse structurelle de Approches Formelles dans l'Assistance au H.WAESELYNCK, V.WIELS contre-exemples Développement de Logiciels (AFADL'2010), Poitiers (France) , 9-11 Juin 2010, 4p. F. LONE SANG, E.LACOMBE, Analyse de l'efficacité du service fourni par une Symposium sur la Sécurité des Technologies de V.NICOMETTE, Y.DESWARTE IOMMU l'Information et des Communications (SSTC 2010) , Rennes (France), 9-11 Juin 2010, 25p. R.GUILLERM, N.SADOU Base de connaissances SYSML pour la Congrès de Maîtrise des Risques et de Sûreté de conception de systèmes complexes sûrs de Fonctionnement (Lamda Mu 17), La Rochelle fonctionnement (France) , 5-7 Octobre 2010, 7p. MAI14104 MAN10007 MAN10133 MAN10256 MAN10266 MAN10328 MAN10426 MAN10427 Improving adaptiveness embedded applications of International Conference on Multimedia Computing and Systems ( ICMCS ) 2014 du 14 avril au 16 avril 2014, Marrakech (Maroc), Avril 2014, 6p. International ABZ Conference ( ABZ ) 2014 du 02 juin au 06 juin 2014, Toulouse (France), Communications in computer and information science (CCIS) 433, Juin 2014, pp.110-125 International Conference on Software Security and Reliability - Companion ( SERE-C ) 2014 du 30 juin au 02 juillet 2014, San Francisco (USA), DOI 10.1109/SERE-C.2014.18, Juin 2014, pp.19-24 Joint Conference on Mechanical Design Engineering & Advanced Manufacturing 2014 du 18 juin au 20 juin 2014, Toulousea (France), Juin 2014, 6p. International Conference on Engineering, Technology and Innovation ( ICE ) 2014 du 23 juin au 25 juin 2014, Bergame (Italie), Juin 2014, 7p. International Conference Mixed Design of Integrated Circuits and Systems ( MIXDES ) 2014 du 19 juin au 21 juin 2014, Lublin (Pologne), Juin 2014, 8p. AUTOSAR Annual ACM Symposium on Applied Computing ( ACM-SAC ) 2014 du 24 mars au 28 mars 2014, Gyeongju (Corée), Mars 2014, pp.384-390 Published in: Application du Model Checking aux commandes Approches Formelles dans l'Assistance au de vol: l'expérience Airbus Développement de Logiciels (AFADL 2009), Toulouse (France), 26-28 Janvier 2009, 10p. Pola: un langage dédié au domaine des ROADEF 2009, Nancy (France), 10-12 Février 2009, systèmes temps réel vérifiables par model 15p. cheking Ordonnancement temps réel multiprocesseur ROADEF'09, Nancy (France), 10-12 Février 2009, partitionné et programmation par contraintes 2p. Une approche de virtualisation assistée par le Symposium sur la Sécurité des Technologies de matériel pour protéger l'espace noyau d'actions l'Information et des Communications (SSTIC 09), malveillantes Rennes (France), 3-5 Juin 2009, 20p. Caractérisation et modélisation de 4ème Conférence sur la Sécurité des Architectures l'environnement pour l'évaluation quatitative de Réseaux et des Systèmes d'Information (SARSSI la sécurité 2009), Luchon (France), 23-26 Juin 2009, pp.207222 ESA petrinet version hybride: outil d'analyse de Evaluation des Performances et Maîtrise des fiabilité des systèmes hybrides Risques Technologiques pour les Systèmes Industriels et Energétiques (EPMRT 2009), Le Havre (France), 28-29 Mai 2009, 6p. Présentation des résultats du projet NEPTUNE, Paris (France), 26-27 Mai 2009, 7p. OpenEmbeDD Scientific Production — 15 MAN10446 A.DESSIATNIKOFF, R.AKROUT, E.ALATA, V.NICOMETTE, M.KAANICHE O.HAMOUDA, M.KAANICHE, K.KANOUN Amélioration de la détection de vulnérabilités Web par classification automatique des réponses Modélisation et évaluation de la sûreté de fonctionnement de boîtes noires virtuelles pour systèmes automobiles Attaques DMA peer-to-peer et contremesures MAN12015 I.STUDNIA, V.NICOMETTE, M.KAANICHE, E.ALATA MAN12093 F. LONE SANG, V.NICOMETTE, Y.DESWARTE MAN12104 D.FOURES, V.ALBERT, J.C.PASCAL, A.NKETSA MAN12212 O.BALDELLON, M.ROY, J.C.FABRE Plateforme distribuée de pots de miel haute- Conférence sur la Sécurité des Architectures interaction et résultats expérimentaux Réseaux et des Systèmes d'Information (SARSSI 2012), Cabourg (France), 22-25 Mai 2012, 8p. IronHide: Plate-forme d'attaques par entrées- Symposium sur la Sécurité des Technologies de sorties l'Information et des Communications (SSTIC 2012), Rennes (France), 6-8 Juin 2012, 23p. Model-driven engineering approach for SysML Journées FAC'2012 (Formalisation des Activités activity diagram simulation Concurrentes), Toulouse (France), 4-5 Avril 2012, 9p. Exécution répartie et temps-réel de réseaux de Rencontres Francophones sur les Aspects Petri Algorithmiques des Télécommunications (AlgoTel), La Grande Motte (France), 29 Mai - 1 Juin 2012, 4p. MAN12254 M.TAIX, C.BRIAND, P.TRUILLET, A.DE BONNEVAL, I.FERRANE, P.GRANDJEAN, J.PINQUIER N.HADERER, M.NUNEZ DEL PRADO, R.ROUVOY, M.O.KILLIJIAN, M.ROY K.TIASSOU, K.KANOUN, M.KAANICHE, C.SEGUIN, C.PAPADOPOULOS Aide à la personne dépendante: comment un Colloque National AIP-PRIMECA, Mont-Dore robot humanoïde NAO peut jouer un rôle (France), 28-30 Mars 2012, 10p. principal Campagne de collecte de données et vie privée GDR GPL'12, Renne (France), 20-22 Juin 2012, 2p. MAN13563 P.ABOURDIL, B.BERTHOMIEU, E.JENN, F.VERNADAT MAN14062 Y.BACHY, V.NICOMETTE, E.ALATA, Y.DESWARTE, M.KAANICHE, J.C.COURREGE MAN14210 F.DADEAU, H.WAESELYNCK MAN14287 B.MORGAN, E.ALATA, V.NICOMETTE MAN14348 E.ALATA, D.LE BOTLAN Modélisation et vérification d'un réseau de Modélisation des Systèmes Réactifs ( MSR ) 2013 communication embarqué avec FIACRE/TINA du 13 novembre au 15 novembre 2013, Rennes (France), Novembre 2013, 6p. Analyse de sécurité des box ADSL Symposium sur la sécurité des technologies de l'information et des communications ( SSTIC ) 2014 du 04 juin au 06 juin 2014, Rennes (France), Juin 2014, 15p. Les défis du Test Logiciel - Bilan et Perspectives Journées nationales du GDR GPL 2014 du 10 juin au 13 juin 2014, Paris (France), Juin 2014 Tests d'intégrité d'hyperviseurs à distance Symposium sur la sécurité des technologies de l'information et des communications ( SSTIC ) 2014 du 04 juin au 06 juin 2014, Rennes (France), Juin 2014 Formalisation et génération d'injections Conférence sur la Sécurité des Architectures Réseaux et Systèmes d'Information ( SAR-SSI ) 2014 du 13 mai au 16 mai 2014, Lyon (France), Mai 2014, 13p. [MSI] Ref Conferences without proceedings -‐international-‐ Authors Title MSI09510 Y.DESWARTE, S.GAMBS Extensions to the privacy-preserving identity 9th Privact Enhancing Technologies Symposium card (HOTPETs 2009), Seattle (USA), 5-7 Août 2009, 6p. MSI10938 N.ABID, S.DAL ZILIO MSI11501 Q.A.DO HOANG, J.GUIOCHET, D.POWELL, M.KAANICHE MSI11709 K.TIASSOU, M.KAANICHE, K.KANOUN, C.SEGUIN MSI13070 M.ROY, C.SIRE, G.THERAULAZ, G.TREDAN Real-time extensions for the fiacre modeling International Summer School on MOdelling and language VErifying parallel Processes (MOVEP' 2010), Aachen (Allemagne), 28 Juin - 2 Juillet 2010, 6p. Model-based risk analysis of human-robot Model Based Safety Assessment Workshop interactions and safety argument construction (MBSAW 2011), Toulouse (France), 15-16 Mars 2011, 6p. Model-based assessment of an aircraft Model Based Safety Assessment Workshop operational reliability (MBSAW 2011), Toulouse (France), 15-16 Mars 2011, 2p. Inferring interactions from position and Human Behavior and Network Science. Satellite orientation information in human groups Meeting at NetSci 2013 du 03 juin au 03 juin 2013, Copenhague (Danemark), Juin 2013, 1p. MAN10453 MAN11041 MAN11355 MAN12008 MAN12339 MAN12475 Computer and Electronics Security Applications Rendez-vous (c&esar 2010), Rennes (France), 2224 Novembre 2010, pp.116-130 Congrès de Maîtrise des Risques et de Sûreté de Fonctionnement (Lambda Mu 17), La Rochelle (France), 5-7 Octobre 2010, 8p. F. LONE SANG, V.NICOMETTE, Symposium sur la Sécurité des Technologies de Y.DESWARTE, L.DUFLOT l'Information et des Communications (SSTIC 2011), Rennes (France), 8-10 Juin 2011, pp.147-174 L.P.BERGE, C.BRIAND, A.DE SysCARE: système de communication et Journées Francophones "Mobilité et Ubiquité 2011" BONNEVAL, M.TAIX, P.TRUILLET, d'assistance robotisée (UBIMOB'11), Toulouse (France), 6-8 Juin 2011, O.LEFEBVRE pp.38-41 A.DE BONNEVAL, M.SGHAIRI, Systèmes de commandes de vol: nouvelles Congrès de Maîtrise des Risques et de Sûreté de Y.CROUZET architectures tolérantes aux fautes Fonctionnement ( Lambda Mu ) 2012 du 16 octobre au 18 octobre 2012, Tours (France), 2012, 3p. Impact de l'évaluation de la fiabilité Congrès de Maîtrise des Risques et de Sûreté de opérationnelle pendant la mission d'un avion Fonctionnement ( Lambda Mu ) 2012 du 16 octobre au 18 octobre 2012, Tours (France), 2012, 9p. Scientific Production — 16 Published in: [MSN] Ref Conferences without proceedings -‐national-‐ Authors Title MSN09487 H.CHU Published in: Evaluation de la robustesse de l'architecture de contrôle de robot B.BERTHOMIEU, J.P.BODEVEIX, Langage intermédiaire et transformations de S.DAL ZILIO, M.FILALI, modèles pour le développement de systèmes temps-réel: retour d'expérience sur la chaîne de M.PANTEL, F.VERNADAT vérification formelle Fiacre A.AL SHEIKH, O.BRUN, Ordonnacement de tâches sous contrainte de P.E.HLADIK périodicité stricte EDSYS 2009. 10ème Congrès de Doctorants, Toulouse (France), 14-15 Mai 2009, 6p. IDM 2010 : journées sur l'Ingénierie Dirigée par les Modèles, Pau (France), 9-10 Mars 2010, 6p. MSN14176 R.XUE, C.BARON, P.ESTEBAN Congrès des Doctorants EDSYS 2014 du 22 mai au 23 mai 2014, Toulouse (France), Mai 2014, 7p. MSN14405 P.ABOURDIL, B.BERTHOMIEU, S.DAL ZILIO, F.VERNADAT How compatible systems engineering and project management standards are considering the way they manage systems engineering processes ? Réduction par symétrie du graphe des classes d'états des réseaux de Petri temporels [OAN] Ref Books (author) -‐national-‐ Authors Title OAN09586 OAN12737 T.MONTEIL, V.NICOMETTE, F.POMPIGNAC, S. HERNANDO A.NKETSA, D.DELAUZUN MSN10346 MSN10729 MSN11165 MSN11168 MSN11169 MSN11214 MSN11269 MSN11325 MSN11446 MSN11502 MSN11510 MSN11710 MSN12241 MSN12402 MSN12773 MSN13385 MSN14013 Congrès Annuel de la Société Française de Recherche Opérationnelle et d'Aide à la Décision (ROADEF 2011), St Etienne (France), 2-4 Mars 2011, Vol.I, pp.55-56 J.LAURET, J.C.FABRE, Test des applications orientés aspect: modèle Congrès des Doctorants EDSYS 2011, Toulouse H.WAESELYNCK de faute, classification des techniques, (France), 10-11 Mai 2011, 6p. problèmes ouverts M.LASTERA, J.ARLAT, E.ALATA Architecture sécurisée des systèmes Congrès des Doctorants EDSYS 2011, Toulouse d'information appliquée à l'avionique (France), 10-11 Mai 2011, 6p. F. LONE SANG, V.NICOMETTE, Attaques par entrée-sortie et contremesures Journée Sécurité des Systèmes & Sureté des Y.DESWARTE Logiciels (3SL), Saint Malo (France), 10 Mai 2011, 2p. M.LASTERA, E.ALATA, J.ARLAT, Evaluation des performances des hyperviseurs Journée Sécurité des Systèmes & Sureté des Y.DESWARTE, B.LECONTE, pour l'avionique Logiciels (3SL), Saint Malo (France), 10 Mai 2011, 2p. D.POWELL M.STOICESCU, J.C.FABRE, Towards a system architecture for resilient Journée Sécurité des Systèmes & Sureté des M.ROY computing Logiciels (3SL), Saint malo (France), 10 Mai 2011, 2p. R.AKROUT, M.KAANICHE, Identification de vulnérabilités et évaluation de Congrès des Doctorants EDSYS 2011, Toulouse V.NICOMETTE systèmes de détection d'intrusion pour les (France), 10-11 Mai 2011, 6p. applications web B.BERTHOMIEU, J.P.BODEVEIX, Vérification formelle de spécifications AADL via Ecole d'Eté Temps Réel (ETR'11), Brest (France), 29 S.DAL ZILIO, M.FILALI, FIACRE Août - 2 Septembre 2011, 9p. F.VERNADAT A.MEKKI-MOKHTAR, Définition de règles de sécurité-innocuité Journée Sécurité des Systèmes & Sureté des J.P.BLANQUART, J.GUIOCHET, vérifiables en ligne pour des systèmes Logiciels (3SL), St Malo (France), 10 Mai 2011, 2p. D.POWELL autonomes critiques A.MEKKI-MOKHTAR Définition de règles de sécurité-innocuité Congrès des Doctorants EDSYS 2011, Toulouse vérifiables en ligne pour des systèmes (France), 10-11 Mai 2011, 6p. autonomes critiques K.TIASSOU, K.KANOUN, Modélisation de la fiabilité opérationnelle d'un Congrès des Doctorants EDSYS 2011, Toulouse C.SEGUIN, M.KAANICHE avion (France), 10-11 Mai 2011, 7p. Y.DESWARTE Génération hors ligne d'attributs certifiés et de Atelier Protection de la Vie Privée (APVP 2012), Ile pseudonymes certifiés à chaînabilité contrôlée de Groix (France), 18-20 Juin 2012, 4p. M.TAIX, C.BRIAND, P.TRUILLET, Nao: fundamental support to Master's student Nao Tech Day, Paris (France), 13 Juin 2012, 25p. A.DE BONNEVAL, I.FERRANE, projects J.PINQUIER B.BERTHOMIEU, J.P.BODEVEIX, La traduction AADL-FIACRE. L'expérience Approches Formelles dans l'Assistance au S.DAL ZILIO, P.FARAIL, M.FILALI, TOPCASED Développement de Logiciels ( AFADL ) 2012 du 11 janvier au 13 janvier 2012, Grenoble (France), P.GAUFILLET, F.VERNADAT Janvier 2012, 51p. M.CHERAMY, P.E.HLADIK, Simulation d'ordonnancement temps réel avec Ecole d'Eté Temps Réel ( ETR ) 2013 du 28 août au A.M.DEPLANCHE prise en compte de l'impact des caches 30 août 2013, Toulouse (France), Août 2013, 4p. A.ZAMMALI, A.DE BONNEVAL, Communication integrity in critical embedded Congrès des Doctorants EDSYS 2014 du 22 mai au Y.CROUZET systems: a multi-functions error detection policy 23 mai 2014, Toulouse (France), Mai 2014, 4p. Formalisation des activités concurrentes ( Journées FAC ) 2014 du 16 avril au 17 avril 2014, Toulouse (France), Avril 2014, 13p. Published in: Presses Universitaires du Mirail, N°ISBN 978-2-8107Du langage C au C++ 0054-7, Juillet 2009 ELECTRONIQUE NUMÉRIQUE - Systèmes Ellipses, N°ISBN 9782729875381, Collection électroniques numériques complexes - Technosup, Octobre 2012, 336p. Modélisation et mise en œuvre. Cours et exercices corrigés (Niveau C) Scientific Production — 17 [OEI] Ref Books (editor) -‐international-‐ Authors Title OEI13308 M.HEISEL, M.KAANICHE, A.ROMANOVSKY, E.TROUBITSYNA [OEN] Ref Books (editor) -‐national-‐ Authors OEN12208 N.MATTA, Y.VANDENBOOMGAERDE, J.ARLAT [OPI] Ref Books (contribution) -‐international-‐ Authors Title OPI08540 Y.DESWARTE, A.ABOU EL KALAM OPI09165 C.COULIN, A.E.K.SAHRAOUI, D.ZOWGHI OPI09177 M.SGHAIRI, A.DE BONNEVAL, Y.CROUZET, J.J.AUBERT, P.BROT OPI09349 J.ARLAT, Y.CROUZET OPI09805 F.VERNADAT, S.HADDAD OPI09806 B.BERTHOMIEU, M.BOYER, M.DIAZ OPI09808 F.VERNADAT, S.HADDAD OPI10228 J.C.FABRE OPI10454 O.HAMOUDA, M.KAANICHE, K.KANOUN OPI10543 A.ABOU EL KALAM, C.AGUILAR- Further privacy mechanisms MELCHOR, S.BERTHOLD, J.CAMENISCH, S.CLAUSS, Y.DESWARTE, M.KOHLWEISS, A.PANCHENKO, L.PIMENIDIS, M.ROY M.O.KILLIJIAN, M.ROY Data backup for mobile nodes: a cooperative middleware and an experimentation platform M.MARTINELLO, M.KAANICHE, Performability evaluation of web-based services K.KANOUN OPI10560 OPI11089 OPI11349 OPI11608 OPI12026 Published in: Engineering resilient systems: models, methods Dagstuhl Seminar, Juillet 2013, 3p. and tools Title Published in: Supervision, surveillance et sûreté de fonctionnement des grands systèmes Traité IC2, Hermès, N°ISBN 978-2-7462-3840-4, Avril 2012, 373p. Published in: PolyOrBAC: an access control model for Inter- Handbook of Research on Social Dimensions of organizational web services Semantic Technologies and Web Services, N°ISBN 978-1-60566-650-1, Mai 2009, Chapter XLIV, 21p. MUSTER: a situational tool for requirements Artificial Intelligence Applications for Improved elicitation Software Engineering Development: New Prospects , Edited By: Farid Meziane, Sunil Vadera Information Science Reference, 341p., N°ISBN 9781-60566-758-4, Juillet 2009, Chapter VIII, 22p. Architecture optimization based on incremental Advances in Electrical and Electronics Engineering. approach for airplane digital distributed flight IAENG Special edition of the World Congress on control system Engineering and Computer Science (WCECS 2008), N°ISBN 978-0-7695-3555-5, Avril 2009, pp.13-20 Physical Fault Models and Fault Tolerance Models in Hardware Testing: Lecture Notes of the Forum in Honor of Christian Landrault, Springer, 257p., N°978-90-481-3281-2, 29 Décembre 2009, pp.217-255 Analysis Methods for Petri Nets Petri Nets. fundamental Models, Verification and Applications, ISTE & Wiley, Juillet 2009, Chapter 3, pp.41-86 Time Petri Nets Petri Nets. fundamental Models, Verification and Applications, Wiley, N°ISBN 978-1-84821-079-0, Juillet 2009, Chapter 5, pp.123-161 Verification od Specific Properties Petri Nets. fundamental Models, Verification and Applications, Wiley, N°ISBN 978-1-84821-079-0, Juillet 2009, Chapter 12, pp.349-414 Architecting dependable systems using Architecting Dependable Systems VII, N°ISBN: 978reflective computing: lessons learnt and some 3-642-17244-1, 2010, Part 4, pp.273-322 challenges Dependability assessment in the context of Dependability and Computer Engineering: Concepts automotive safety applications for Software-Intensive Systems, N°ISBN 9781609607470, Avril 2011, Chapitre 18, pp.442-458 Digital Privacy. PRIME - Privacy and Identity Management for Europe, N°ISBN 978-3-642-190490, Avril 2011, Chapter 18, pp.495-556 Architecting Dependable Systems VII, N°ISBN 9783642172441, Janvier 2011, pp.53-73 Performance and Dependability in Service Computing: Concepts Techniques and Research Directions, IGI Global, N°ISBN 9781609607951, Valeria Cardellini, Emiliano Casalicchio (Eds), 2012, Chapter 11, pp.243-264 D.POWELL, J.ARLAT, Tolerance of design faults Dependable and Historic Computing, Essays Y.DESWARTE, K.KANOUN Dedicated to Brian Randell on the Occasion of his 75th Birthday. Lecture Notes in Computer Science 6875, Springer, Août 2011, pp.428-452 C.E.GOMEZ CARDENAS, From embedded systems requirements to Production Systems and Supply Chain Management P.ESTEBAN, J.C.PASCAL, physical representation: a model-based in Emerging Countries: Best Practices, N°ISBN 978methodology in accordance with the EIA-632 3-642-26003-2, Avril 2012, 22p. F.JIMENEZ standard G.AURIOL, C.BARON, V.SHUKLA, System engineering method for system design Systems Engineering - Practice and Theory, Boris J.Y.FOURNIOLS Cogan (Eds), InTech, 354p., N°ISBN 978-953-510322-6, Mars 2012, pp.201-216 Scientific Production — 18 OPI12038 Y.DESWARTE, S.GAMBS OPI12375 Y.CROUZET, K.KANOUN [OPN] Ref Books (contribution) -‐national-‐ Authors Title Y.DESWARTE, S.GAMBS The challenges raised by the privacy-preserving Cryptography and Security: From Theory to identity card Applications, Naccache, David (Ed.), Lecture Notes in Computer Science, Vol. 6805, Springer, 502p., N°ISBN 978-3-642-28367-3, Février 2012, pp.383404 System dependability: characterization and Advances in Computers. Special issue: Dependable benchmarking and Secure Systems Engineering, Vol.84, A.Hurson, S.Sedigh (Eds), Elsevier, 264p., N°978-0-12-3965257, Mai 2012, pp.93-139 OPN09512 OPN10825 OPN12037 J.M.SUCHIER, C.BLANCHER, J.L.BRUGUIERE, Y.DESWARTE, J.M.DUCCOROY, D.HOTTE, B.HUYGHE, S.DE LASTOURS, H.MARTINI, S.DE MAUPEOU, L.ME, J.P.POCHON J.ARLAT, N.MATTA Scientific Journals -‐international-‐ Authors Title RVSI06333 RVSI09359 A.SAIDANE, V.NICOMETTE, Y.DESWARTE A.E.RUGINA, K.KANOUN, M.KAANICHE M.SGHAIRI, A.DE BONNEVAL, Y.CROUZET, J.J.AUBERT, V.NICOMETTE, M.KAANICHE, E.ALATA, M.HERRB A.ABOU EL KALAM, Y.DESWARTE, A.BAINA, C.AGUILAR-MELCHOR, Y.DESWARTE V.NICOMETTE, M.KAANICHE, E.ALATA D.LE BOTLAN, D.REMY RVSI09432 N.SADOU, H.DEMMOU RVSI09062 RVSI09087 RVSI09088 RVSI09176 RVSI09461 RVSI09550 RVSI09628 RVSI09633 RVSI09940 RVSI10092 RVSI10127 vie privée: principes et Les Technologies de l'information au service des droits: opportunités défis limites, N°ISBN 978-28027-2960-0, 2010, Chapitre VII, pp.107-130 White Paper de l'association des Instituts Carnot, Décembre 2010, 45p. Menaces contemporaines et technologies de Questions de futur - 108 experts et decideurs francais relevent le defi. Rapport du Conseil l'information, nouvelles criminalités supérieur de la Formation et de la Recherche stratégiques, Sous la Direction de Philippe Baumard, CNRS Editions, 100p., N°ISBN 978-2-27107383-9, Mars 2012, pp.103-119 Supervision, surveillance et sûreté de Chapitre 16. Conclusion fonctionnement des grands systèmes, Sous la direction de N.Matta, Y.Vandenboomgaerde, J.Arlat; Traité IC2, Hermès, 373p., 2012, Mai 2012, Chapitre 16, pp.361-369 [RVSI] Ref RVSI08676 la R.BRIAND, G.HAYE, Smart networked objects & internet of things S.BEAUSSART, C.PERSON, M.BAFLEUR, M.DIAZ, J.M.DILHAC, D.DRAGOMIRESCU, M.O.KILLIJIAN, P.D.BERGER, C.JANNETEAU, J.CAELEN, T.TARIS, C.MULLER, D.GAITI OPN12259 RVSI07060 Published in: Protection de technologies Published in: The design of a generic intrusion tolerant architecture for Web servers Modélisation de la sûreté de fonctionnement basée sur le langage AADL et les RdPSG Challenges in building fault-tolerant flight control system for a civil aircraft Set-up and deployment of a high-interaction honeypot: experiment and lessons learned PolyOrBAC: a security framework for critical infrastructures Trustable relays for anonymous communication Une analyse empirique du comportement des attaquants. Expérimentations et résultats Recasting MLF Reliability analysis of discrete event dynamic systems with Petri nets E.LACOMBE, V.NICOMETTE, Enforcing Kernel constraints by hardwareY.DESWARTE assisted virtualization Z.MICSKEI, H.WAESELYNCK The many meanings of UML 2 sequence diagrams: a survey A.E.K.SAHRAOUI Core Disciplines for Unifying Engineering Education Revisiting with a focus on Modelling and Simulation J.H.COLLET, P.ZAJAC, Chip Self-organization and Fault-Tolerance in M.PSARAKIS, D.GIZOPOULOS Massively Defective Multicore Arrays F.TAIANI, M.O.KILLIJIAN, COSMOPEN: dynamic reverse engineering on a J.C.FABRE budget. How cheap observation techniques can be used to reconstruct complex multi-level behaviour J.NIN, A.LAURENT, P.PONCELET Speed Up Gradual Rule Mining from Stream Data ! A B-Tree and OWA-based Approach R.GUILLERM, H.DEMMOU ESA_Petri net: Dynamis reliability analysis tool Scientific Production — 19 IEEE Transactions on Dependable and Secure Computing, Vol.6, N°1, pp.45-58, Janvier 2009 Technique et Science Informatiques, Vol.28, N°1, pp.7-37, Janvier 2009 IAENG International Journal of Computer Science, Vol.35, N°4, pp.495-499, Janvier 2009 Journal in Computer Virology, Vol.7, N°2, pp.143157, Mai 2011 International Journal of Critical Infrastructure Protection, Vol.2, N°4, pp.154-169, Novembre 2009 Transactions on Data Privacy, Vol.2, N°2, pp.101130, Septembre 2009 Techniques et Sciences Informatiques, Vol.29, N°6, pp.691-720, Septembre 2010 Information and Computation, Vol.207, N°6, pp.726785, Juin 2009 Reliability Engineering & System Safety, Vol.94, N°11, pp.1848-1861, Novembre 2009 Journal in Computer Virology, Vol.7, N°1, pp.1-21, Février 2011 Software and Systems Modeling, Vol.10, N°4, pp.489-514, Octobre 2011 IEEE Multidisciplinary Engineering Education Magazine, Vol.5, N°3, pp.24-27, Septembre 2010 IEEE Transactions on Dependable and Secure Computing, Vol.8, N°2, pp.207-217, Mars 2011 Software :Practice and Experience, Vol.39, N°18, pp.1467-1514, Décembre 2009 Journal of Intelligent Information Systems, Vol.35, N°3, pp.447-463, Décembre 2010 International Journal of Adaptive and Innovative Systems (IJAIS), Vol.1, N°3-4, pp.201-215, Décembre 2010 RVSI10270 Y.DESWARTE, S.GAMBS RVSI10558 A.BONDAVALLI, O.HAMOUDA, M.KAANICHE, P.LOLLINI, I.MAJZIK, H.P.SCHWEFEL A.E.K.SAHRAOUI RVSI10630 RVSI10955 RVSI10966 RVSI11020 RVSI11297 RVSI11352 RVSI11461 RVSI11540 RVSI11687 RVSI11688 RVSI11704 RVSI11721 A.WEHBE, A.ZOLGHADRI, C.BARON, G.AURIOL K.GOSEVA-POPSTOJANOVA, K.KANOUN G.VACHE, M.KAANICHE, V.NICOMETTE R.GUILLERM, H.DEMMOU, N.SADOU V.NICOMETTE, D.POWELL, Y.DESWARTE, N.ABGHOUR, C.ZANON S.GAMBS, M.O.KILLIJIAN, M.NUNEZ DEL PRADO C.ESCRIBA, J.Y.FOURNIOLS, M.LASTAPIS, J.L.BOIZARD, G.AURIOL, S.ANDRIEU F.PERES, B.BERTHOMIEU, F.VERNADAT F.PERES, P.E.HLADIK, F.VERNADAT A.E.RUGINA, K.KANOUN, M.KAANICHE G.AURIOL, C.BARON, J.Y.FOURNIOLS RVSI11839 Q.ZHANG, I.DENIAUD, E.CAILLAUD, C.BARON RVSI11840 R.L.LIMA GOMES, G.J.HOYOS RIVERA, R.WILLRICH, C.VIANA LIMA, J.P.COURTIAT M.KAANICHE, AadVAN MOORSEL L.E.BUZATO, E.P.DUARTE, M.KAANICHE A.E.K.SAHRAOUI RVSI11851 RVSI11852 RVSI12021 RVSI12053 RVSI12152 RVSI12416 RVSI12527 RVSI12606 RVSI12640 RVSI12689 RVSI13500 RVSI13699 RVSI14038 RVSI14077 A.AL SHEIKH, O.BRUN, M.CHERAMY, P.E.HLADIK A.AL SHEIKH, O.BRUN, P.E.HLADIK, B.PRABHU A.E.K.SAHRAOUI, T.BLUM A.DESSIATNIKOFF, Y.DESWARTE, E.ALATA, C.FAYOLLAS, J.C.FABRE, D.NAVARRE, P.PALANQUE, Y.DELERIS F. LONE SANG, V.NICOMETTE, Y.DESWARTE K.TIASSOU, K.KANOUN, M.KAANICHE, C.SEGUIN, C.PAPADOPOULOS X.ZHANG, G.AURIOL, H.ERES, C.BARON Y.A.PIGNOLET, S.SCHMID, G.TREDAN D.LE BOTLAN, S.DAL ZILIO, N.ABID R.AKROUT, E.ALATA, M.KAANICHE, V.NICOMETTE A proposal for a privacy-preserving national Transactions on Data Privacy, Vol.3, N°3, pp.253identity card 276, Décembre 2010 The HIDENETS holistic approach for the IEEE Transactions on Mobile Computing, Vol.10, analysis of large critical mobile systems N°6, pp.783-796, Juin 2011 Systems engineering processes deployment for PLM for engineering education Advanced methodology for structuring partners selection criteria Guest Editors' Introduction to the Special Section on Evaluation and Improvement of Software Dependability A vulnerability life cycle based security modeling and evaluation approach Safety evaluation and management of complex systems: a system engineering approach Intrusion-tolerant fine-grained authorization for Internet applications IEEE Technology and Engineering Education, Vol.8, N°4, pp.24-34, Novembre 2013 International Journal of Design and Innovation, Vol.5, N°1, pp.41-54, Février 2010 IEEE Transactions on Software Engineering, Vol.36, N°3, pp.306-308, Mai 2010 Show me how you move and I will tell you who you are New real-time structural health monitoring microsystem for aircraft propeller blades Transactions on Data Privacy, Vol.4, N°2, pp.103126, Août 2011 IEEE Aerospace and Electronic Systems Magazine, Vol.27, N°2, pp.29-41, Février 2012 The Computer Journal, Vol.56, N°4, pp.422-439, Avril 2013 Concurrent Engineering: Research and Applications, Vol.20, N°2, pp.149-159, Juin 2012 Journal of Systems Architecture, Vol.57, N°4, pp.441451, Avril 2011 On the composition of time Petri nets Discrete Event Dynamic Systems, Vol.21, N°3, pp.395-424, Septembre 2011 Specification and verification of real-time International Journal of Critical Computer Based systems using the POLA Systems, Vol.2, N°3-4 , pp.332-351, Septembre 2011 Software dependability modeling using AADL International Journal of Performability Engineering, (Architecture Analysis and Design Language) Vol.7, N°4, pp.313-325, Juillet 2011 The advantages of systems engineering International Journal of Engineering and Industries, standards, a case study of application of the Vol.2, N°3, pp.40-56, Septembre 2011 EIA632 standard to design of a small-scale yacht Process modeling in innovative design using International Council on Systems Engineering systems engineering Journal. INSIGHT, Vol.14, N°4, pp.31-32, Décembre 2011 A loosely coupled integration environment for IEEE Transactions on Systems, Man and collaborative applications Cybernetics, Part A, Vol.41, N°5, pp.905-916, Août 2011 It all depends, and increasingly so IEEE Security & Privacy, Vol.9, N°6, pp.56-57, Novembre 2011 Message from the special issue editors Journal of the Brazilian Computer Society, Vol.18, N°1, 2p., Janvier 2012 The rationale paradigm in system development International Journal of System of Systems lifecycle Engineering, Vol.4, N°1, pp.44-54, Janvier 2013 Optimal design of virtual links in AFDX networks Real-Time Systems, Vol.49, N°3, Mars 2013 Strictly periodic scheduling in IMA-based architectures Service requirements. Knowing and managing the whole end-to-end service lifecycle Potential attacks on onboard aerospace systems Interactive cockpit as critical applications: a model-based and a fault-tolerant approach Real Time Systems, Vol.48, N°4, pp.359-386, Juillet 2012 ARPN Journal of Systems and Software, Vol.2, N°10, pp.255-261, Octobre 2012 IEEE Security & Privacy, Vol.10, N°4, pp.71-74, Octobre 2012 International Journal of Critical Computer-Based Systems, Vol.4, N°3, pp.202-226, Novembre 2013 A tool to analyze potential I/O attacks against PCs Aircraft operational reliability. A model-based approach and a case study IEEE Security & Privacy, Vol.12, N°2, pp.60-66, Mars 2014 Reliability Engineering and System Safety, Vol.120, pp.163-176, Décembre 2013 A prescriptive approach to qualify and quantify customer value for value-based requirements engineering Misleading stars: what cannot be measured in the internet? A formal framework to specify and verify real time properties on critical systems An automated black box approach for web vulnerabilities identification and attack scenario generation International Journal of Computer Integrated Manufacturing , Vol.26, N°4, pp.327-345, Avril 2013 Scientific Production — 20 Distributed Computing, Vol.26, N°4, pp.209-222, Août 2013 International Journal of Critical Computer-Based Systems, Vol.5, N°1-2, pp.4-30, Janvier 2014 Journal of the Brazilian Computer Society, Vol.20, N°1, pp.1-16, Janvier 2014 RVSI14335 R.LUDINARD, E.TOTEL, F.TRONEL, V.NICOMETTE, M.KAANICHE, E.ALATA, R.AKROUT, Y.BACHY [RVSN] Ref Scientific Journals -‐national-‐ Authors Title RVSN09006 A.E.RUGINA, P.H.FEILER, K.KANOUN, M.KAANICHE RVSN11145 G.VACHE, V.NICOMETTE, M.KAANICHE RVSN12190 V.PASQUI, L.SAINT BAUZEL, C.ZONG, X.CLADY, P.DECQ, F.PIETTE, V.MICHELPELLEGRINO, A.EL HELOU, M.CARRE, A.DURAND, Q.A.DO HOANG, J.GUIOCHET, P.RUMEAU, V.DUPOURQUE, RVSN12242 J.CAQUAS Y.DESWARTE, S.GAMBS [RVSII] Ref An invariant-based approach for detecting International Journal of Secure Software attacks against data in web applications Engineering, Vol.5, N°1, pp.19-38, Janvier 2014, Special Issue on CRiSIS 2012 Published in: Modélisation de la sûreté de fonctionnement avec AADL. Un langage standardisé de description d'architectures Evaluation quantitative de la sécurité: approche basée sur les vulnérabilités Projet MIRAS: robot d'assistance à la déambulation avec interaction multimodale Cyber-attaques et problématique et évolution RVSII12185 J.ARLAT, Z.KALBARCZYK, T.NANYA Technique et Science Informatiques, Vol.32, N°1, pp.41-75, Janvier 2013 Ingénierie et Recherche BioMédicale (IRBM), Vol.33, N°2, pp.165-172, Avril 2012 cyber-défenses: Revue de l'Electricité et de l'Electronique, N°2, pp.2335, Juin 2012 Invited paper (journal) -‐international-‐ Authors Title RVSII11432 J.ARLAT Revue de l'Electricité et de l'Electronique, N°3, pp.8187, Mars 2009 Published in: Dependable computing dependability Nanocomputing: small dependability challenges and assessment ITG-Fachbericht, Vol.231, pp.10-17, Septembre 2011 devices, large IEEE Security & Privacy, Vol.10, N°1, pp.69-72, Janvier 2012 [RVV] Ref Popular Journals Authors Title RVV 10714 V.NICOMETTE Internet: un réseau fondamentalement non sûr RVV 11604 F. LONE SANG, Y.A.PEREZ Les entrées/sorties: contre-mesures Published in: principes, attaques Scientific Production — 21 Revue de la Défense, N°147, pp.29-30, Septembre Octobre 2010 et Misc, N°58, pp.25-32, Novembre 2011 RC theme: Networks and Communications Papers in collaboration with other themes Theme nb papers ref HOPES 14 MAI09193, MAI09217, MAI10026, MAI10224, MAI10745, MAI12115, MAI12471, MAI12505, MAI14099, MAN12662, MAN12704, OPN10825, RVSI10699, RVSI12703 MNBT MAI13254 1 NII MAI10651 1 IC CII14220, MAI09318, MAI09656, MAI10210, MAI10291, MAI10364, MAI10651, 18 MAI11055, MAI11680, MAI12495, MAI14005, MAN10266, MSN10729, OAN09586, OPI09806, OPN10825, RVSI12053, RVSI12152 DO 20 GE 2 MAI09042, MAI09043, MAI09141, MAI09441, MAI09456, MAI10025, MAI10210, MAI10245, MAI10471, MAI12079, MAI12595, MAI13421, MAN10239, MSI13251, OPI08005, RVSI09055, RVSI09057, RVSI09655, RVSI09887, O RPN10825 VSI12502 MAI10651, Paper summary for RC theme Invited paper (conference) -‐international-‐ CII Invited paper (conference) -‐national-‐ CIN Conferences with published proceedings -‐international-‐ MAI Conferences with published proceedings -‐national-‐ MAN Conferences without proceedings -‐international-‐ MSI Conferences without proceedings -‐national-‐ MSN Books (author) -‐international-‐ OAI Books (author) -‐national-‐ OAN Books (editor) -‐international-‐ OEI Books (editor) -‐national-‐ OEN Books (contribution) -‐international-‐ OPI Books (contribution) -‐national-‐ OPN Guest editor -‐international-‐ RII Scientific Journals -‐international-‐ RVSI Scientific Journals -‐national-‐ RVSN Popular Journals RVV 10 2 281 17 6 18 3 1 11 1 22 3 4 95 4 1 RC Theme publications Invited paper (conference) -‐international-‐ [CII] Ref Authors Title Published in: CII10213 CII10352 CII10547 CII10691 CII11185 CII11770 P.OWEZARSKI, J.MAZEL, Y.LABIT 0day anomaly detection made possible thanks International Conference on Wired/Wireless Internat to machine learning Communications (WWIC 2010), Lulea (Suède), 1-3 Juin 2010, pp.327-338 I.BOUASSIDA, R.BEN HALIMA, A policy-driven dynamic reconfiguration for International Workshop on Business System K.DRIRA, C.CHASSOT, M.JMAIEL virtualized web services-based architectures Management and Engineering (BSME 2010), Malaga (Espagne), 28 Juin 2010, 15p. K.DRIRA Model-based management of ubiquitous and Intrernational Workshop on Security and autonomic M2M service architecture Dependability for Resource Constrained Embedded Systems (SD4rces 2010), Vienne (Autriche), 14 Septembre 2010, 5p. K.DRIRA Reconfiguration models for adaptive and International Conference on Next Generation ubiquitous communication in layered services Networks and Services (NGNS⁄10), Marrakech (Maroc), 8-10 Juillet 2010, 1p. (Résumé) P.OWEZARSKI, R.HASAN, First step in cross-layers measurement in International Conference on Wired/Wireless Internet G.KREMER, P.BERTHOU wireless networks. How to adapt to resource Communications (WWIC 2011), Barcelone constraints for optimizing end-to-end services ? (Espagne), 15-17 Juin 2011, pp.150-161 P.OWEZARSKI From supervised to unsupervised anomaly International Conference on Network and Service detection in internet traffic Managment (CNSM 2011), Paris (France), 24-28 Octobre 2011, 11p. Scientific Production — 23 CII11790 K.DRIRA CII13794 P.OWEZARSKI CII14220 J.ARLAT, M.DIAZ, M.KAANICHE CII14227 D.CARVIN, P.OWEZARSKI, P.BERTHOU [CIN] Ref Invited paper (conference) -‐national-‐ Authors Title CIN09360 CIN13575 D.EL BAZ M.BRUYERE, D.DELAVENNAT [MAI] Ref Conferences with published proceedings -‐international-‐ Authors Title MAI08468 G.FERNANDES RAPHANELLI, P.OWEZARSKI Automated classification of network anomalies MAI08484 R.SERRAL-GRACIA, Y.LABIT, J.DOMINGO-PASCUAL, P.OWEZARSKI M.MILADI, I.KRICHEN, M.JMAIEL, K.DRIRA Toward an efficient service level agreement IEEE INFOCOM 2009, Rio de Janeiro (Brésil), 19-25 assessment Avril 2009, 5p. MAI08610 ADREAM, a smart space for autonomic and Conférence Latino Américaine, Los Cabos ubiquitous networked services (Mexique), 1-2 Septembre 2011, 16p. Unsupervised network anomaly detection Conférence sur la Sécurité des Architectures Réseaux et Systèmes d'Information ( SAR-SSI ) 2013 du 16 septembre au 18 septembre 2013, Mont de Marsan (France), Septembre 2013, 1p. Towards resilient cyber-physical systems: the International Conference on Design & Technology of ADREAM project Integrated Systems in Nanoscale Era ( DTIS ) 2014 du 06 mai au 08 mai 2014, Santorin (Grèce), Mai 2014, 5p. A Generalized Distributed Consensus Algorithm International Conference on Smart Communications for Monitoring and Decision Making in the IoT in Network Technologies ( SaCoNeT ) 2014 du 18 juin au 20 juin 2014, Vilanova i la Geltru (Espagne), Juin 2014, 6p. Calcul intensif pair à pair Utilisation d'OpenFlow et des modules Splite Les Journées Réseaux ( JRES ) 2013 du 10 Data Plane de DELL pour traiter le DUID-MAC- décembre au 13 décembre 2013, Montpellier spoofing des requêtes DHCPv6 (France), Décembre 2013, 8p. An xADL extension for managing dynamic deployment in distributed service oriented architectures Gateway selection in backbone wireless mesh networks MAI08717 U.ASHRAF, S.ABDELLATIF, G.JUANOLE MAI09017 V.BOYER, D.EL BAZ, M.ELKIHEL A dynamic programming method with dominance technique for the knapsack sharing problem G.SANCHO, I.BOUASSIDA, A model-driven adaptive framework for T.VILLEMUR, S.TAZI, K.DRIRA collaborative ubiquitous systems T.RIOS DA ROCHA, R.WILLRICH, Supporting collaborative learning activities with R.FILETO, S.TAZI a digital library and annotations MAI09025 MAI09031 MAI09042 Y.ARIBA, F.GOUAISBAUT, S.RAHME, Y.LABIT Robust control tools for traffic monitoring in TCP/AQM networks MAI09043 Y.ARIBA, F.GOUAISBAUT, Y.LABIT R.WILLRICH, A.COLOMBO PRUDENCIO, M.DIAZ, S.TAZI Multiple time-delays system modeling and control for router management Quality of service specifications: a semantic approach MAI09141 S.RAHME, Y.LABIT, F.GOUAISBAUT Sliding mode observer for anomaly detection in TCP/AQM networks MAI09157 M.BEN HAMOUDA, O.BRUN, J.M.GARCIA A tabu search heuristic for capacitated network design MAI09159 R.BEN HALIMA, E.FKI, K.DRIRA, M.JMAIEL Experiments results and large scale measurement data for web services performance assessment MAI09179 M.GINESTE, N.VAN WAMBEKE, E.EXPOSITO MAI09193 R.SHARROCK, F.KHALIL, T.MONTEIL, H.AUBERT, F.COCCETTI, P.STOLF, L.BROTO, R.PLANA Enhancing TFRC for video streaming by agnostically using applicative cross layer semantics and measure Deployment and management of large planar reflectarray antennas simulation on grid MAI09054 Published in: Teratec 2009, Gif sur Yvette (France), 30 Juin 2009, 1p. Scientific Production — 24 Published in: 5th International ICST Conference on Security and Privacy in Communication Networks (SecureComm 2009), Athènes (Grèce), 14-17 Décembre 2009, 10p. 3rd International Conference on Fundamentals of Software Engineering, Kish Island (Iran), 15-17 Avril 2009, pp.375-382 IEEE Wireless Communications & Networking Conference (WVNC 2009), Budapest (Hongrie), 5-8 Avril 2009, 6p. 39th International Conference on Computers & Industrial Engineering (CIE39), Troyes (France), 6-8 Juillet 2009, pp.348-353 NOTERE 2009, Montréal (Canada), 29 Juin - 3 Juillet 2009, 12p. 9th IFIP World Conference on Computers in Education, Bento Gonçalves (Brésil), 27-31 Juillet 2009, 10p. 3rd IEEE Multi-Conference on Systems and Control (MSC 2009), St Petersbourg (Russie), 8-10 Juillet 2009, pp.525-530 European Control Conference (ECC09), Budapest (Hongrie), 23-26 Août 2009, pp.3557-3562 8th IEEE International Symposium on Network Computing and Applications (IEEE NCA 2009), Cambridge (USA), 9-11 Juillet 2009, 7p. 2nd International Conference on Communication Theory, Reliability and Quality of Service (CTRQ 2009), Colmar (France), 20-25 Juillet 2009, pp.113118 14th IEEE Symposium on Computers and Communications (ISCC'09), Sousse (Tunisie), 5-8 Juillet 2009, 7p. 1st Workshop on Performance Evaluation of Communications in Distributed Systems and Web based Service Architecture, Sousse (Tunisie), 5-8 Juillet 2009, 6p. 2nd International Workshop on Future Multimedia Networking, Coimbra (Portugal), 22-23 Juin 2009, pp.1-13 Challenges of Large Applications in Distributed Environments (CLADE), Munich (Allemagne), 9-10 Juin 2009, 8p. MAI09200 MAI09211 MAI09216 MAI09217 MAI09218 MAI09231 MAI09252 MAI09253 MAI09318 MAI09319 MAI09327 MAI09363 MAI09364 MAI09365 MAI09366 MAI09367 MAI09370 MAI09440 MAI09441 MAI09442 MAI09449 MAI09456 MAI09511 MAI09513 E.S.SILVA, J.M.FARINES, M.DIAZ Path prediction for between mobile nodes resource reservation IEEE Wireless Communications & Networking Conference (WVNC 2009), Budapest (Hongrie), 5-8 Avril 2009, 6p. I.BOUASSIDA, G.SANCHO, A model-driven adaptive approach for The ACM International Conference on Pervasive T.VILLEMUR, S.TAZI, K.DRIRA collaborative ubiquitous systems Services (ACM ICPS'09), Londres (UK), 13-17 Juillet 2009, 6p. S.MARZOUK, A.JMAL MAALEJ, Periodic checkpointing for strong mobility of International Workshop on Self-Healing Web I.BOUASSIDA, M.JMAIEL orchestrated web services Services (SHWS 2009). 7th IEEE International Conference on Web Services ( ICWS 2009), Los Angeles (USA), 6-10 Juillet 2009, pp.203-210 J.HENAUT, A.HAKIRI, Wireless field buses for aerospace ground and 8th IFAC International Conference on Fieldbuses & P.BERTHOU, in-flight testing: an experiment Networks in Industrial & Embedded Systems D.DRAGOMIRESCU, (FeT'2009), Ansan (Corée), 20-22 Mai 2009, pp.89T.GAYRAUD, R.PLANA 96 P.DE SAQUI SANNES, UML Modeling and Formal Verification of Secure 2nd IEEE International workshop UML and Formal T.VILLEMUR, B.FONTAN, S.MOTA Group Communication Protocols Methods (UMF & FM'09), Rio de Janeiro (Brésil), 8 Décembre 2009, 6p. GONZALEZ , M.S.BOUASSIDA, N.CHRIDI, I.CHRISMENT, L. VIGNERON M.EL MASRI, S.ABDELLATIF, An uplink bandwidth management framework for Networking 2009, Aachen (Allemagne), 11-15 Mai G.JUANOLE IEEE 802.16 with QoS guarantees 2009, 12p. O.BRUN, A.AL SHEIKH, Flow-level modelling of TCP traffic using GPS 21st International Teletraffic Congress (ITC 21 J.M.GARCIA queueing networks 2009), Paris (France), 15-17 Septembre 2009, 8p. C.FORTUNY, O.BRUN, M.BEN Integration of equipment constraints in the 21st International Teletraffic Congress (ITC 21 HAMOUDA, J.M.GARCIA capacity-planning process 2009), Paris (France), 15-17 Septembre 2009 I.ALBERDI, V.NICOMETTE, Plateforme pour l'exécution contrôlée de Colloque Francophone d'Ingenierie des Protocoles P.OWEZARSKI logiciels malveillants (CFIP), Strasbourg (France), 12-15 Octobre 2009, 12p. F.ZORZI, G. KANG, Opportunistic localization scheme based on 6th IEEE International Symposium on Intelligent T.PERENNOU, A.ZANELLA linear matrix inequality Signal Processing (WISP 2009), Budapest (Hongrie), 27-28 Août 2009, pp.247-252 T.PERENNOU, A.BOUABDALLAH, IP-Level Satellite Link Emulation with KauNet International Workshop on Satellite and Space A.BRUNSTROM, J.GARCIA, Communications (IWSSC 2009), Sienne (Italie), 9-11 Septembre 2009, 5p. P.HURTIG M.EL MASRI, S.ABDELLATIF Managing the virtual collision in IEEE 802.11e 8th IFAC International Conference on Fieldbuses EDCA and neTworks in industrial and embedded systems, Ansan (Corée), Mai 2009 G.SAWAR, R.BORELI, E.LOCHIN Performance of VoIP with DCCP for Satellite IEEE International Conference on Communications Links (IEEE ICC 2009), DRESDE (Allemagne), Juin 2009 D.LOPEZ PACHECO, E.LOCHIN, Understanding the Impact of TFRC Feedbacks Global Information Infrastructure Symposium (IEEE G.SARWAR, R.BORELI Frequency over Long Delay Links GIIS 2009), Hammamet (Tunisie), 22-26 Juin 2009, 5p. E.LOCHIN, G.JOURJON, Design and Validation of a Reliable Rate Based Global Information Infrastructure Symposium (IEEE S.ARDON Transport Protocol: The Chameleon Protocol GIIS 2009), Hammamet (Tunisie), 22-26 Juin 2009, 8p. G.SARWAR, R.BORELI, Performance of VoIP with DCCP for Satellite IEEE International Conference on Communications E.LOCHIN Links (IEEE ICC 2009), Dresde (Allemagne), 14-18 Juin 2009, 6p. V.BAUDIN, T.VILLEMUR, N.TA, Déploiement dynamique d'applications NOTERE 2009 - , Montréal (Canada), 29 Juin - 1er K.DRIRA coopératives distribuées dans une architecture Juillet 2009 orientée service J.GOMEZ MONTALVO, A multimedia ontology driven architecture 1st International Conference on Networked Digital M.LAMOLLE, E.EXPOSITO framework (MODA) for networked multimedia Technologies (NDT 2009), Ostrava (République systems Tchèque), 29-31 Juillet 2009, 6p. R.DELPOUX, P.BERTHOU, Satellite terminal quality of service management 15th Ka and Broadband Communications, F.GOUAISBAUT, Y.LABIT with AQM control Nagivation and Earth Observation Conference, Cagliari (Talie), 23-25 Septembre 2009, pp.349-357 I.BOUASSIDA, K.DRIRA, A model-based multi-level architectural Working IEEE/IFIP Conference on Software C.CHASSOT, M.JMAIEL reconfiguration applied to adaptability Architecture & European Conference on Software management in context-aware cooperative Architecture, WICSA/ECSA 2009, Cambridge communication support systems (Royaume- Unis), 14-17 Septembre 2009, pp.353356 D.LOPEZ PACHECO, E.LOCHIN Optimal Configuration for Satellite PEPs using a International Workshop on Satellite and Space Reliable Service on Top of a Routers-Assisted Communications (IWSSC 2009), Sienne (Italie), 9-11 Approach Septembre 2009, 5p. S.RAHME, Y.LABIT, An unknown input sliding observer for anomaly International Conference on Ultra Modern F.GOUAISBAUT detection in TCP/IP network Telecommunications, ICUMT 2009, Saint Petersbourg (Russie), 12-14 Octobre 2009, 7p. J.LACOUTURE, P.ANIORTE Self-adaptation of semantic services based on a International Conference on Adaptive and Intelligent component/agent approach: application to e- Systems (ICAIS'09), Klagenfurt (Autriche), 24-26 training Septembre 2009, pp.21-27 D.EL BAZ, T.T.NGUYEN A self-adaptive communication protocol with 18th Euromicro Conference on Parallel Distributed application to high performance peer to peer and Network-Based Processing (PDP 2010), Pise distributed computing (Italie), 17-19 Février 2010, pp.327-333 Scientific Production — 25 MAI09546 E.EXPOSITO, J.GOMEZ MONTALVO, M.LAMOLLE MAI09548 E.EXPOSITO, M.GINESTE, M.LAMOLLE, J.GOMEZ MONTALVO MAI09549 J.GOMEZ MONTALVO, E.EXPOSITO, M.LAMOLLE MAI09656 A.AL SHEIKH, O.BRUN, P.E.HLADIK MAI09664 P.TOURNOUX, A.BOUABDALLAH, E.LOCHIN, J.LACAN MAI09665 DREMI, E.LOCHIN, P.ANELLI MAI09675 I.BOUASSIDA, J.LACOUTURE, K.DRIRA MAI09685 K.FAKHFAKH, T.CHAARI, S.TAZI, K.DRIRA, M.JMAIEL MAI09687 E.EXPOSITO, J.GOMEZ MONTALVO MAI09702 T.GAYRAUD, L.BERTAUX, P.BERTHOU T.T.NGUYEN, D.EL BAZ, P.SPITERI, G.JOURJON, M.CHAU MAI09748 Semantic and Architectural Autonomic Transport Services Framework for 1st International Conferences on Adaptive and Selfadaptive Systems and Applications, Athènes (Grèce), 15-20 Novembre 2009, pp.99-104 Semantic network adaptation based on QoS International Conference on Signal Processing, pattern recognition for multimedia streams Image Processing and Pattern Recognition (SIP 2009), Jeju Island (Corée), 10-12 Décembre 2009, pp.267-274 Towards user-centric configuration and International Conference on Ultra Modern deployment of multimedia services: A semantic Telecommunications, ICUMT 2009, Saint framework Petersbourg (Russie), 12-14 Octobre 2009, 4p. Decision Support for Task Mapping on IMA Junior Researcher Workshop on Real-Time Architecture Computing (JRWRTC 2009), Paris (France), Octobre 2009, pp.31-34 Tetrys : Un mécanisme de fiabilisation Colloque Francophone sur l'Ingénierie des polyvalent protocoles (CFIP'2009), Strasbourg (France), 12-15 Octobre 2009 Une méthode statistique d'estimation de la Colloque Francophone sur l'Ingénierie des congestion d'un réseau protocoles (CFIP'2009), Strasbourg (France), 12-15 Octobre 2009, pp.37-48 Semantic Driven Self-Adaptation of International Conference on Advanced Information Communications applied to ERCMS Networking and Applications (AINA 2010), Perth (Australie), 20-23 Avril 2010, 8p. WordNet-based approach towards a better International Conference on Software Engineering comprehension between providers and clients (CONSEG - 09), Chennai (Inde), 17-19 Décembre 2009, pp.40-51 An ontology based framework for autonomous The 6th International Conference on Networking and QoS management in home networks Services (ICNS 2010), Cancun (Mexique), 7-13 Mars 2010, 5p. A NS-2 Simulation Model of DVB-S2/RCS 15th Ka Broadband Communications, Cagliari Satellite Network (Italie), 23-25 Septembre 2009, pp.663-670 High Performance Peer-to-Peer Distributed 24th IEEE International Parallel and Distributed Computing with Application to Obstacle Problem Processing Symposium (IPDPS 2010), Atlanta (USA), 19-23 Avril 2010, 8p. Une méthode statistique d'estimation de la 14 ème Colloque Francophone sur l'Ingéniérie des congestion d'un réseau Protocoles - CFIP 2009, Strasbourg (France), 12-15 Octobre 2009 A Study on the Benefit of TCP Packet 17th Euromicro International Conference on Parallel, Prioritisation Distributed, and Network-Based Processing (PDP 2009), Weimar (Allemagne), 18-20 Février 2009, 13p. An Opportunistic Indoors Positioning Scheme IEEE Symposium on Computers and Based on Estimated Positions Communications (ISCC 2009), Sousse (Tunisie), 58 Juillet 2009, pp.844-850 A database of anomalous traffic for assessing Traffic Monitoring and Analysis Workshop (TMA profile based IDS 2010), Zurich (Suisse), 7 Avril 2010, pp.59-72 On-the-Fly Coding for Real-Time Applications International Conference on Multimedia (ACM 2009), Beijing (Chine), 19-23 Octobre 2009, pp.889-892 MAI09772 R.DIANA, E.LOCHIN, P.ANELLI MAI09819 E.DEDU, E.LOCHIN MAI09820 G. KANG, T.PERENNOU, M.DIAZ MAI09831 P.OWEZARSKI MAI09865 P.TOURNOUX, A.BOUABDALLAH, J.LACAN, E.LOCHIN MAI09934 P.TOURNOUX, J.LEGUAY, F.BENBADIS, V.CONAN, M.DIAS DE AMORIM, J.WHITBECK P.TOURNOUX, E.LOCHIN, J.LEGUAY, J.LACAN The accordion phenomenon: analysis, IEEE INFOCOM 2009, Rio de Janeiro (Brésil), 19 characterization, and impact on DTN routing Avril 2010, 9p. MAI10025 R.DELPOUX, P.BERTHOU, F.GOUAISBAUT, Y.LABIT MAI10026 A.HAKIRI, P.BERTHOU, J.HENAUT, D.DRAGOMIRESCU, E.EXPOSITO, J.GOMEZ MONTALVO, M.LAMOLLE Enhancing Multimedia Experience in Satellite Communication Systems With AGM Based Traffic Controllers Performance Evaluation of Wireless Sensor Network for Spacial and Aeronautic Systems Multimedia Ontology-Driven Architecture for Multimedia Systems MAI10019 MAI10027 MAI10032 MAI10038 P.ANELLI, E.LOCHIN, F.HARIVELO, D.LOPEZ PACHECO K.DRIRA, I.BOUASSIDA MAI10039 K.DRIRA Robust Streaming in Delay Tolerant Networks IEEE International Conference on Communications (ICC 2010), Cape Town (Afrique du Sud), 23-27 Mai 2010, 5p. International Conference on Advances in Satellite and Space Communications (SPACOMM 2010), Athènes (Grèce), 13-19 Juin 2010, pp.76-81 International Conference on Telecommunications (IEEE ICT 2010), Doha (Qatar), 5-7 Avril 2010, 8p. 2nd International Conference on Information Process and Knoledge Management (eKNOW 2010), St Maarten (Pays Bas), 10-16 Février 2010, pp.7-12 ACM Symposium on Applied Computing (SAC 2010), Lausanne (Suisse), 22-26 Mars 2010, 7p. Transport congestion events detection (TCED): towards decorrelating congestion detection from TCP A Demonstration of an Efficient Tool for Graph 10ème Conférence Internationale Francophone sur Matching and Transformation l'Extraction et la Gestion des Connaissances (EGC 2010), Hammamet (Tunisie), 26-29 Janvier 2010, pp.71-73 Les interdépendances structurelles, un 10ème Conférence Internationale Francophone sur problème complexe traité par les graphes de l'Extraction et la Gestion des Connaissances (EGC coordination 2010), Hammamet (Tunisie), 26-29 Janvier 2010, pp.45-56 Scientific Production — 26 MAI10052 U.AYESTA, O.BRUN, B.PRABHU MAI10053 R.SHARROCK, A.CHERBAL, L. GURGEN, T.MONTEIL, S. HONIDEN E.EXPOSITO MAI10055 MAI10093 MAI10107 MAI10108 R.SHARROCK, P.STOLF, T.MONTEIL G.JUANOLE, G.MOUNEY, D.SAUTER, C.AUBRUN, C.CALMETTES X.NGUYEN, G.JUANOLE, G.MOUNEY, C.CALMETTES Price of Anarchy in Non-Cooperative Load 29th Annual International Conference on Computer Balancing Communications (IEEE INFOCOM 2010), San Diego (USA), 15-19 Mars 2010, 6p. Thinking autonomic for sensing devices 6th International Conference on Autonomic and Autonomous Systems (ICAS 2010), Cancun (Mexique), 7-13 Mars 2010, pp.20-23 yPBL methodology: a problem-based learning IEEE Engineering Education (EDUCON 2010), method applied to Software Engineering Madrid (Espagne), 14-16 Avril 2010, pp.1819-1825 Extending TUNe for Autonomous Management International Conference on Networks (ICN 2010), of QoS at Applcation and Network Levels Ménuires (France), 11-16 Avril 2010, pp.327-330 Decision Making Improvement for Diagnosis in Mediterranean Conference on Control and Networked Control Systems based on Dynamic Automation (MED'10), Marrakech (Maroc), 23-25 Message Scheduling Juin 2010, pp.280-285 Networked Control System (NCS) on a network International Workshop on Factory Communication CAN: on the Quality of Service (QoS) and Systems (WFCS 2010), Nancy (France), 18-21 Mai quality of Control (QoC) provided by different 2010, pp.261-270 message scheduling schemes based on hybrid priorities What About Collaboration in Ubiquitous Conférence Internationale Annuelle sur les Environments NOuvelles TEchnologies de la REpartition (NOTERE 2010), Tozeur (Tunisie), 31 Mai - 2 Juin 2010, pp.143-150 A formal model for the specification and analysis International Conferences on Advances in of HLA based distributed multimedia interactive Multimedia, MMEDIA 2011, Budapest (Hongrie), 17simulation using hierarchical time stream petri 22 Avril 2011, pp.23-29 nets A semantic approach to user-based QoS International Conference on Communication Theory provision for multimedia services in home Reliability and Quality of Service (CTRQ 2010) , networks Athènes (Grèce), 13-19 Juin 2010, pp.150-155 MAI10109 G.SANCHO, I.BOUASSIDA, T.VILLEMUR, S.TAZI MAI10123 A.HAKIRI, M.DIAZ MAI10164 J.GOMEZ MONTALVO, E.EXPOSITO MAI10165 G.SANCHO, T.VILLEMUR, S.TAZI GCO: a Generic Collaboration Ontology MAI10167 F.ZORZI, A.BARDELLA, T.PERENNOU, G. KANG, A.ZANELLA MAI10168 P.HURTIG, T.PERENNOU, A.BRUNSTROM, J.GARCIA MAI10169 MAI10191 MAI10192 MAI10210 MAI10212 MAI10214 MAI10224 MAI10245 MAI10253 MAI10265 MAI10271 International Conference on Advanced in Semantic Processing (SEMAPRO 2010), Florence (Italie), 2530 Octobre 2010, pp.212-217 Analysis of opportunistic localization algorithms 2nd International Workshop on Mobile Opportunistic based on the linear matrix inequality method Networking ACM/SIGMOBILE MobiOpp 2010, Pise (Italie), 22-23 Février 2010, 4p. Using triggers for emulation of opportunistic 2nd International Workshop on Mobile Opportunistic networking Networking ACM/SIGMOBILE MobiOpp 2010, Pise (Italie), 22-23 Février 2010, 4p. F.ZORZI, A.BARDELLA, Experimental localization application in 2nd International Workshop on Mobile Opportunistic T.PERENNOU, G. KANG, opportunistic scenario Networking ACM/SIGMOBILE MobiOpp 2010, Pise (Italie), 22-23 Février 2010, 3p. A.ZANELLA A.HAKIRI, M.DIAZ, Multi-level model for synchronizing temporal International Conference on Advances in Multimedia S.ABDELLATIF, P.BERTHOU, streams on HLA based distributed multimedia (MMEDIA), Athènes (Grèce), 13-19 Juin 2010, 8p. applications using HTSPN T.GAYRAUD N.HADJ KACEM, A.HADJ KACEM, Orchestrating safe behavioural adaptations of 6th International Conference on Autonomic and K.DRIRA component-based systems Autonomous Systems (ICAS 2010), Cancun (Mexique), 7-13 Mars 2010, pp.37-46 V.ALBERT, F.ARMANDO, Sparkles for the validation models for International Conference of Modeling and Simulation M.BAYOUDH, F.PERROT, communicating hybrid systems ( MOSIM'10), Hammamet (Tunisie), 10-12 Mai 2010, 9p. L.TRAVE-MASSUYES G. KANG, T.PERENNOU, M.DIAZ, Group behavior impact on an opportunistic Future Network & Mobile Summit 2010 (FuNeMS F.ZORZI, A.ZANELLA localization scheme 2010), Florence (Italie), 16-18 Juin 2010, 6p. M.MILADI, F.KRICHEN, A UML based deployment and management ACIS International Conference on Software M.JMAIEL, K.DRIRA modeling for cooperative and distributed Engineering, Management and Applications (SERA applications 2010), Montréal (Canada), 24-26 Mai 2010, 16p. F.KHALIL, R.SHARROCK, Distributed electromagnetic analysis of 2010 annual conference of the Applied H.AUBERT, T.MONTEIL, reflectarrays Computational Electromagnetics Society (ACES 2010), Tempere (Finlande), 25-29 Avril 2010, 5p. F.COCCETTI, R.PLANA, YDENNEULIN S.RAHME, Y.LABIT, Second order sliding mode observer for IEEE Conference on Decision and Control (CDC F.GOUAISBAUT, T.FLOQUET anomaly detection in TCP networks: from theory 2010), Atlanta (USA), 15-17 Décembre 2010, to practice pp.5120-5125 L.BERTAUX, T.GAYRAUD, How is SCTP able to compete with TCP on a International Conference on Advances in Satellite P.BERTHOU QoS-aware satellite network ? and Space Communications (SPACOMM 2010), Athènes (Grèce), 13- 19 Juin 2010, pp.70-75 N.HADJ KACEM, A.HADJ KACEM, A formal approach to enforcing consistency in European Conference on Software Architecture K.DRIRA self-adaptive systems (ECSA 2010), Copenhague (Danemark), 23 - 26 Août 2010, pp.279-294 E.FKI, S.TAZI, C.SOULE-DUPUY Towards a user intention aware service Conférence Internationale Annuelle sur les composition NOuvelles TEchnologies de la REpartition (NOTERE 2010), Tozeur (Tunisie), 31 Mai - 2 Juin 2010, pp.113120 Scientific Production — 27 MAI10279 E.FKI, C.SOULE-DUPUY, S.TAZI, Intention driven M.JMAIEL servicev patterns MAI10280 A.COLOMBO PRUDENCIO, R.WILLRICH, M.SCHEIBEL, S.TAZI, G.SANCHO MAI10284 S.KHEMAKHEM, K.DRIRA, M.JMAIEL MAI10291 I.ALBERDI, V.NICOMETTE, P.OWEZARSKI MAI10316 MAI10318 MAI10326 MAI10329 MAI10330 MAI10364 MAI10369 MAI10370 MAI10419 MAI10424 MAI10425 MAI10455 MAI10456 MAI10471 MAI10489 MAI10509 MAI10511 MAI10517 MAI10522 service composition with International Conference on Enterprise Information Systems (ICEIS 2010), Funchal (Portugal), 8-12 Juin 2010, 6p. Application and network QoS mapping using an International Conference on Models and Ontologyontology-based approach Based Design of Protocols, Architectures and Services (MOPAS 2010), Athènes (Grèce), 13-19 Juin 2010, pp.214-219 Ontology-based discovery and integration International Conference on the Applications of Digital Information and Web Technologies (ICADIWT 2010), Istanboul (Turquie), 12-14 Juillet 2010, 8p. Luth: composing and parallelizing midpoint International Conference on Network and System inspection devices Security (NSS 2010), Melbourne (Australie), 1-3 Septembre 2010, pp.9-16 M.EL MASRI, S.ABDELLATIF, On resource management in heterogeneous Conférence Internationale Annuelle sur les G.JUANOLE wireless access networks application to NOuvelles TEchnologies de la REpartition (NOTERE automated highway systems 2010), Tozeur (Tunisie), 31 Mai - 2 Juin 2010, pp.137-142 M.OPRESCU, M.MEULLE, Rethinking iBGP routing Annual Conference of the ACM Special Interest S.UHLIG, C.PELSSER, Group on Data Communication (SIGCOMM 2010), O.MAENNEL, P.OWEZARSKI New Delhi (Inde), 30 Août - 3 Septembre 2010, pp.411-412 R.SHARROCK, P.STOLF, How to enhance the grid accessibility for non- Grid'5000 Spring School 2010, Lille (France), 6-9 T.MONTEIL expert users using automatic computing Avril 2010, 6p. A.HAKIRI, P.BERTHOU, Survey study of the QoS management in Euro Simulation Interoperability Workshop (Euro T.GAYRAUD distributed interactive simulation through dead SIW 2010), Ottawa (Canada), 12-15 Juillet 2010, reckoning algorithms 10p. A.HAKIRI, P.BERTHOU, Addressing the challenge of distributed Euro Simulation Interoperability Workshop (Euro T.GAYRAUD interactive simulation with data distribution SIW 2010), Ottawa (Canada), 12 - 15 Juillet 2010, service 9p. A.AL SHEIKH, O.BRUN, Partition scheduling on an IMA platform with International Conference on Real-Time and Network P.E.HLADIK strict periodicity and communication delays Systems (RNTS 2010), Toulouse (France), 4-5 Novembre 2010, pp.179-188 K.FAKHFAKH, S.TAZI, K.DRIRA, Enhancing client intentions analysis for service International Conference on Models and OntologyT.CHAARI, M.JMAIEL level agreements establishment assistance Based Design of Protocols, Architectures and Services (MOPAS 2010), Athènes (Grèce), 13-19 Juin 2010, pp.237-242 K.FAKHFAKH, S.TAZI, K.DRIRA, Implementing and testing a semantic-driven International Conference on Advanced Information T.CHAARI, M.JMAIEL approach towards a better comprehension Networking and Applications (AINA 2010), Perth between service consumers and providers (Australie), 20-23 Avril 2010, pp.183-188 A.AKL, T.GAYRAUD, P.BERTHOU Investigating several wireless technologies to International Conference on Wireless and Mobile built an heterogeneous network for the In-Flight Communications (ICWMC 2010), Valence Entertainment system inside an aircraft cabin (Espagne), 20-25 Septembre 2010, pp.532-537 M.T.S.JONCKHEERE, R.NUNEZ- Performance analysis of traffic surges in multi- International Teletraffic Congress (ITC-22), QUEIJA, B.PRABHU class communication networks Amsterdam (Pays Bas), 7-9 Septembre 2010, 8p. O.BRUN, B.PRABHU Worst-case analysis of non-cooperative load Workshop on Algorithmic Game Theory: Dynamics balancing and Convergence in Distributed Systems, Bordeaux (France), 5 Juillet 2010, 5p. X.NGUYEN, G.JUANOLE, Wireless communication networks and process Global Communications Conference (GLOBECOM G.MOUNEY, C.CALMETTES control applications: study the influence of MAC 2010), Miami (USA), 6-10 Décembre 2010, pp.1689protocols 1694 E.EXPOSITO, C.CHASSOT, New generation of transport protocols for Global Communications Conference (GLOBECOM M.DIAZ autonomous systems 2010), Miami (USA), 6-10 Décembre 2010, pp.16741678 A.SUBIAS, E.EXPOSITO, Self-adapting strategies guided by diagnosis International Workshop on Principles of Diagnosis C.CHASSOT, L.TRAVEand situation assessment in collaborative (DX 10), Portland (USA), 13-16 Octobre 2010, MASSUYES, K.DRIRA communicating systems pp.329-336 A.ADELIN, P.OWEZARSKI, On the impact of monitoring router energy IEEE/ACM International Conference on Grid T.GAYRAUD consumption for greening the internet Computing (Grid 2010), Bruxelles (Belgique), 25-29 Octobre 2010, pp.298-304 V.BOYER, D.EL BAZ, M.ELKIHEL Dense dynamic programming on multi GPU Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP 2011), Ayia Napa (Chypre), 9-11 Février 2011, pp.545-551 A.EL FATNI, G.JUANOLE, T.VAL Formal modelling and verification of the International Conference on Modeling Analysis and common hopping multi-channel MAC protocols Simulation of Wireless and Mobile Systems (MSWIM 2010), Bodrum (Turquie), 17 21 Octobre 2010, pp.311-318 M.LALAMI, V.BOYER, D.EL BAZ Efficient implementation of the simplex method Workshop PCO'11. In conjunction with IEEE IPDPS on a CPU-GPU system 2011, Anchorage (USA), 16-20 Mai 2011, 8p. A.HAKIRI, P.BERTHOU, QoS-enabled ANFIS dead reckoning algorithm IEEE/ACM International Symposium on Distributed T.GAYRAUD for distributed interactive simulation Simulation and Real Time Applications (DS-RT 2010), Faifax (USA), 17-20 Octobre 2010, pp.33-42 Scientific Production — 28 MAI10546 MAI10651 MAI10656 MAI10659 MAI10675 MAI10712 MAI10713 MAI10737 MAI10745 MAI10896 MAI10928 MAI11010 MAI11028 MAI11034 MAI11035 MAI11037 MAI11048 MAI11055 MAI11067 MAI11076 MAI11118 MAI11121 MAI11136 MAI11176 D.EL BAZ, V.BOYER, J.BOURGEOIS, E.DEDU Distributed discrete state acquisition and Workshop on Design, Control and Software concurrent pattern recognition in a MEMS-based Implementation for Distributed MEMS (dMEMS smart surface 2010), Besançon (France), 28-29 Juin 2010, pp.7885 M.LASTAPIS, C.ESCRIBA, Embedded blade microsystem and events Asia Pacific Workshop on Structural Health G.AURIOL, E.ALBU, P.BERTHOU, recorder for drone structural health monitoring Monitoring (APWSHM 2010), Tokyo (Japon), 30 J.L.BOIZARD, J.M.DILHAC, Novembre - 2 Décembre 2010, 9p. J.Y.FOURNIOLS B.JACQUEMIN , P.BERTHOU, Dynamic QoS configuration of a DVB-RCS 16th Ka Band Conference, Milan (Italie), 20-22 T.GAYRAUD, C.BAUDOIN satellite terminal for SIPbased applications Octobre 2010, 8p. E.ALBU, Y.LABIT, T.GAYRAUD, An energy-efficient clock synchronization IFIP Wireless Days 2010, Venise (Italie), 20-22 P.BERTHOU protocol for wireless sensor networks Octobre 2010, 5p. A.D.NGUYEN, P.SENAC, M.DIAZ STIgmergy Routing (STIR) for content-centric Latin-American Workshop on Dynamic Networks delay-tolerant networks (LAWDN), Buenos Aires (Argentine), 4 Novembre 2010, 4p. P.CASAS HERNANDEZ, J.MAZEL, Steps towards autonomous network security: IFIP International Conference on New Technologies, P.OWEZARSKI unsupervised detection of network attacks Mobility and Security (NTMS'2011), Paris (France), 710 Février 2011, 6p. P.CASAS HERNANDEZ, J.MAZEL, Sub-space clustering & evidence accumulation COST TMA International Workshop on Traffic P.OWEZARSKI for unsupervised network anomaly detection Monitoring and Analysis (TMA 2011), Vienne (Autriche), 27 Avril 2011, pp.15-28 P.TOURNOUX, E.LOCHIN, A packet error recovery scheme for vertical International Conference on Mobile and Ubiquitous H.PETANDER, J.LACAN handovers mobility management protocols Systems: Computing Networking and Services (MobiQuitous 2010), Sydney (Australie), 6-9 Décembre 2010, 12p. M.ALEXANDRU, T.MONTEIL, Transmission-line modeling computational Present challenges in computational F.COCCETTI, P.LORENZ, electromagnetics on grids electromagnetics : complexity management multiscales multi-physics uncertainty management H.AUBERT statistics, Saint Malo (France), 2-3 Décembre 2010, 2p. E.LOCHIN STAMP: SMTP server topological analysis by IEEE Consumer Communications & Networking message headers parsing Conference (CCNC 2010), Las Vegas (USA), 9-12 Janvier 2010, 2p. H.PETANDER, E.LOCHIN Safetynet version 2, a packet error recovery International ICST Conference on Mobile Networks architecture for vertical handoffs and Management (ICST MONAMI 2010), Santander (Espagne), 22-24 Septembre 2010, 9p. F.ZORZI, A.BARDELLA, Exploiting opportunistic interactions for Joint Workshop on Wireless Communication (JNCW T.PERENNOU, G. KANG, localization in heterogeneous wireless systems 2011), Paris (France), 1-2 Mars 2011, 6p. A.ZANELLA, F.SOTTILE P.CASAS HERNANDEZ, J.MAZEL, UNADA: unsupervised network anomaly IFIP Networking 2011, Valence (Espagne), 9-13 Mai P.OWEZARSKI detection using sub-space outliers 2011, pp.40-51 A.AKL, T.GAYRAUD, P.BERTHOU A metric for evaluating density level of wireless Wireless Days Conference (WD 2011), Niagara Falls sensor networks (Canada), 10-12 Octobre 2011, 12p. M.OPRESCU, M.MEULLE, oBGP: an overlay for a scalable iBGP control IFIP Networking 2011, Valence (Espagne), 9-13 Mai S.UHLIG, C.PELSSER, plane 2011, pp.420-12431 O.MAENNEL, P.OWEZARSKI T.GARCIA, M.CHAU, Asynchronous peer-to-peer distributed IEEE International Workshop on Parallel and T.T.NGUYEN, D.EL BAZ, computing for financial applications Distributed Scientific and Engineering Computing P.SPITERI (PDSEC-11). In conjunction with IEEE IPDPS 2011, Anchorage (USA), 16-20 Mai 2011, 8p. A.AKL, T.GAYRAUD, P.BERTHOU An investigation of self-organization in ad-hoc International Conference on Networking Sensing networks and Control (ICNSC 2011), Delft (Pays Bas), 11-13 Avril 2011, pp.237-242 A.AL SHEIKH, O.BRUN, A best-response algorithm for multiprocessor Euromicro Conference on Real-Time Systems P.E.HLADIK, B.PRABHU periodic scheduling (ECRTS 2011), Porto (Portugal), 5-8 Juillet 2011, pp.228-237 A.HAKIRI, P.BERTHOU, Design of low cost PC-based simulators for International Conference on Computer as a Tool T.GAYRAUD education and training purpose using DDS (EUROCON 2011), Lisbonne (Portugal), 27-29 Avril 2011, 4p. A.HAKIRI, P.BERTHOU, Controlled stochastic petri net model for end-to- Spring Simulation Multi Conférences. Annual T.GAYRAUD end network QoS provisioning in middleware- Simulation Symposium (ANSS11), Boston (USA), 4based multimedia and real-time systems 9 Avril 2011, pp.118-125 A.EL FATNI, G.JUANOLE, T.VAL Modélisation et analyse des protocoles MAC Colloque Francophone sur l'Ingénierie des multi-canaux basés sur l'approche du "canal Protocoles (CFIP'2011), Sainte Maxime (France), 10d"dédié" 13 Mai 2011, 6p. J.MAZEL, P.CASAS HERNANDEZ, Détection non supervisée d'anomalies du traffic Colloque Francophone sur l'Ingénierie des Y.LABIT, P.OWEZARSKI Protocoles (CFIP'2011), Sainte Maxime (France), 1013 Mai 2011, 12p. M.BEN ALAYA, V.BAUDIN, Dynamic deployment of collaborative Conférence Internationale Annuelle sur les K.DRIRA components in service-oriented architectures NOuvelles TEchnologies de la REpartition (NOTERE 2011), Paris (France), 10-12 Mai 2011, 8p. S.RAMANATHAN, I.BOUASSIDA, Adaptive middleware architecture for group Conférence Internationale Annuelle sur les C.CHASSOT, K.DRIRA communication activities NOuvelles TEchnologies de la REpartition (NOTERE 2011), Paris (France), 10-12 Mai 2011, 6p. Scientific Production — 29 MAI11308 MAI11320 B.F.CORNEA, J.BOURGEOIS, T.T.NGUYEN, D.EL BAZ A.HAKIRI, P.BERTHOU, T.GAYRAUD, A.GOKHALE, J.HOFFERT, D.C.SCHMIDT X.NGUYEN MAI11341 M.LALAMI, D.EL BAZ, V.BOYER MAI11358 M.K.HANAWAL, E.ALTMAN, R.EL- Spatio-temporal control for dynamic routing AZOUZI, B.PRABHU games MAI11362 J.MAZEL, P.CASAS HERNANDEZ, Sub-space clustering, inter-clustering results Y.LABIT, P.OWEZARSKI association & anomaly correlation for unsupervised network anomaly detection E.EXPOSITO, C.CHASSOT, Knowledge base for an autonomic transport M.DIAZ layer MAI11312 MAI11388 Performance prediction in a decentralized environment for peer-to-peer computing SIP-based QoS support and session management for DDS-based distributed realtime and embedded systems Networked control systems: quality of service (QoS) aware dynamic quality of control (QoC) adaptation Multi GPU implementation of the simplex algorithm MAI11392 M.OPRESCU, M.MEULLE, P.OWEZARSKI MAI11440 P.CASAS HERNANDEZ, J.MAZEL, On the use of sub-space clustering & evidence P.OWEZARSKI accumulation for traffic analysis & classification MAI11442 P.CASAS HERNANDEZ, J.MAZEL, P.OWEZARSKI A.BOUKEDJAR, M.LALAMI, D.EL BAZ MINETRAC: mining flows for unsupervised analysis & semi-supervised classification Parallel branch and bound on a CPU-GPU system A.HAKIRI, A.GOKHALE, D.C.SCHMIDT, P.BERTHOU, J.HOFFERT, T.GAYRAUD C.ALBEA SANCHEZ, S.LESECQ, D.PUSCHINI A SIP-based network QoS provisioning framework for cloud-hosted DDS applications MAI11471 MAI11472 MAI11539 dVirt: a virtualized infrastructure experimenting BGP routing for Optimal and robust saturated control for a clock generator MAI11548 C.DIOP, G.DUGUE, C.CHASSOT, QoS-aware multipath TCP extensions for mobile E.EXPOSITO and multimedia applications MAI11584 R.SHARROCK, P.STOLF, T.MONTEIL, T.GUEROUT Internal self-protecting for consistency and stability in an autonomic manager MAI11585 O.BRUN, J.M.GARCIA Dynamic IGP weight optimization in IP networks MAI11603 O.BOXMA, B.PRABHU Analysis of an M/G/1 queue with customer impatience and adaptive arrival process MAI11641 E.FKI, M.JMAIEL, C.SOULEDUPUY, S.TAZI A flexible approach for service composition using service patterns MAI11644 M.BEN ALAYA, T.MONTEIL, K.DRIRA, T.GUEROUT A framework to create multi-domains autonomic middleware MAI11680 A.AL SHEIKH, O.BRUN, P.E.HLADIK, B.PRABHU Strictly periodic scheduling on an IMA-based avionic platform MAI11764 A.D.NGUYEN, P.SENAC, V.RAMIRO, M.DIAZ MAI11765 A.D.NGUYEN, P.SENAC, V.RAMIRO, M.DIAZ A.D.NGUYEN, P.SENAC, V.RAMIRO, M.DIAZ Swarm-based intelligent routing (SIR) - A new approach for efficient routing in content centric delay tolerant networks STEPS. An approach for human mobility modeling How mobility increases mobile cloud computing processing capacity MAI11767 A.D.NGUYEN, P.SENAC, V.RAMIRO, M.DIAZ Persuasive intelligent routing in content centric delay tolerant networks MAI11768 G.SARWAR, E.LOCHIN, R.BORELI Mitigating the impact of packet reordering to maximize performance of multimedia applications MAI11766 Scientific Production — 30 IEEE IPDPS Workshop (IPDPSW 2011), Anchorage (USA), 16-20 Mai 2011, 9p. ACM International Conference on Distributed EventBased Systems (DEBS 2011), New York (USA), 1115 Juillet 2011, 11p. Emerging Technologies and Factory Automation (ETFA'2011), Toulouse (France), 5-9 Septembre 2011, 7p. International Conference on High Performance Computing and Communications (HPCC 2011), Banff (Canada), 2-4 Septembre 2011, pp.179-186 International ICST Conference on game Theory for Networks (GameNets 2011) , Shanghai (Chine), 1618 Avril 2011, 16p. International Conference on Network and Service Managment (CNSM 2011), Paris (France), 24-28 Octobre 2011, 7p. IFIP International Conference on Wired/Wireless Internet Communications (WWIC 2011), Barcelone (Espagne), 15-17 Juin 2011, pp.174-185 Annual IEEE Conference on Local Computer Networks (LCN 2011), Bonn (Allemagne), 4-7 Octobre 2011, 5p. International Workshop on TRaffic Analysis and Classification (TRAC 2011), Istanbul (Turquie), 5-8 Juillet 2011, 6p. International Teletraffic Conference (ITC 2011), San Francisco (USA), 6-9 Septembre 2011, 8p. Euromicro International Conference on Parallel Distributed and Network-based Processing (PDP 2012), Garching (Allemagne), 15-17 Février 2012, pp.392-398 OnTheMove Federated Conferences & Workshops (OTM 2011), Crète (Grèce), 17-21 Octobre 2011, 18p. IEEE Conference on Decision and Control and European Control Conference (CDC-ECC 2011), Orlando (USA), 12-15 Décembre 2011, pp.657-662 International Conference on Advances in Mobile Computing and Multimedia (MoMM 2011), Ho Chi Minh City (Vietnam), 5-7 Décembre 2011, pp.139146 IEEE Symposium on Network Cloud Computing and Applications (IEEE NCCA 2011), Toulouse (France), 21-23 Octobre 2011, 6p. IEEE Symposium on Network Cloud Computing and Applications (IEEE NCCA 2011), Toulouse (France), 21-23 Octobre 2011, 8p. International conference on NETwork Games, COntrol and OPtimization (NetGCOOP 2011), Paris (France), 12-14 Octobre 2011, 4p. ACM Symposium on Applied Computing (SAC 2012), Trento (Italie), 26-30 Mars 2012, pp.15261533 International Conference on Autonomic and Autonomous Systems (ICAS 2012), St Marteen (Pays Bas), 25-30 Mars 2012, 4p. Austrian French German Conference on Optimization (AFG 2011), Toulouse (France), 19-23 Septembre 2011, 3p. International Symposium on Mobility Management and Wireless Access (MOBIWAC 2011), Miami (USA), 31 Octobre - 4 Novembre 2011, 5p. IFIP Networking 2011, Vanlence (Espagne), 9-13 Mai 2011, pp.254-265 IEEE Symposium on Network Cloud Computing and Applications (IEEE NCCA 2011), Toulouse (France), 21-23 Novembre 2011, pp.55-55 International Conference on Pervasive Intelligence and Computing (PICom2011), Sydney (Australie), 1214 Décembre 2011, pp.178-180 IEEE International Conference on Communications (ICC2011), Kyoto (Japon), 5-9 Juin 2011, 5p. MAI11769 K.DRIRA, M.JMAIEL MAI11771 G.SARWAR, R.BORELI, E.LOCHIN MAI11772 T.T.THAI, D.LOPEZ PACHECO, E.LOCHIN, F.ARNAL L.BERTAUX, P.BERTHOU, T.GAYRAUD, P.AMER MAI11847 MAI12002 MAI12006 MAI12011 MAI12046 MAI12068 MAI12069 MAI12073 MAI12076 MAI12079 MAI12115 MAI12121 MAI12143 Adaptive and reconfigurable service-oriented and component-based applications and architectures Xstream-x264: real-time H.264 streaming with cross-layer integration International Conference on Collaboration Technologies and Infrastructures (WETICE 2011), Paris (France), 27-29 Juin 2011, 3p. IEEE International Conference on Multimedia & Expo (ICME'2011), Barcelone (Espagne), 11-15 Juillet 2011, 4p. IEEE International Conference on Communications (ICC2011), Kyoto (Japon), 5-9 Juin 2011, 5p. Ka and Broadband Communications, Navigation and Earth Observation Conference, Palerme (Italie), 3-5 Octobre 2011, pp.425-432 International ICST Conference on Personal Satellite Services (PSATS 2012), Bradford (UK), 22-23 Mars 2012, 9p. IEEE International Symposium on Industrial Embedded Systems (SIES'12), Karlsruhe (Allemagne), 20-22 Juin 2012, pp.85-93 SatERN: a PEP-less solution for satellite communications Geo-localization to enhance SCTP handover in public transports between satellite networks and WLAN I.TOU, P.BERTHOU, T.GAYRAUD, Which transport protocol for hybrid terrestrial F.PLANCHOU, V.KRETZSCHMAR, and satellite systems? E.DUBOIS, P.GELARD X.NGUYEN, G.JUANOLE Networked control systems (NCSs): on the interest of a co-design approach based on interplays between quality of control and quality of service C.DIOP, G.DUGUE, C.CHASSOT, QoS-oriented MPTCP extensions for multimedia International Workshop on Protocols and E.EXPOSITO multi-homed systems Applications with Multi-Homing Support (PAMS 2012), Fukuoka (Japon), 26-29 Mars 2012, 6p. P.DE SAQUI SANNES, J.HUGUES Combining SysML and AADL for the design, Embedded Real Time Software and Systems validation and implementation of critical systems (ERTS2 2012), Toulouse (France), 1-3 Février 2012, 7p. A.CHAABANE, W.LOUATI, Towards an ontology and DHT based IEEE International Workshop on SmArt M.JMAIEL, J.GOMEZ MONTALVO, publish/subscribe scalable system COmmunications in NEtwork Technologies C.DIOP, E.EXPOSITO (SaCoNeT-III 2012), Ottawa (Canada), 11 Juin 2012, 5p. G.DUGUE, C.DIOP, C.CHASSOT, Towards autonomic multipath transport for IEEE International Workshop on SmArt E.EXPOSITO infotainment-like systems COmmunications in NEtwork Technologies (SaCoNeT-III 2012), Ottawa (Canada), 11 Juin 2012, 5p. C.DIOP, E.EXPOSITO, K.DRIRA, Semantic-driven autonomic service bus Interoperability for Enterprise Systems and C.CHASSOT Applications (I-ESA 2012). Workshop: Factories of the future (FoF) - Enabling Interoperability over the Complete Supply Chain, Valence (Espagne), 20-21 Mars 2012, pp.259-266 C.DIOP, J.GOMEZ MONTALVO, Towards a semantic and MPTCP-based International Conference on Multimedia Computing G.DUGUE, C.CHASSOT, autonomic transport protocol for mobile and and Systems (ICMCS'12), Tanger (Maroc), 10-12 E.EXPOSITO multimedia applications Mai 2012, 6p. S.RAHME, L.GALLON, Y.LABIT, Détection de Dénis de Service (DdS) dans une Conférence Internationale Francophone F.GOUAISBAUT architecture TCP par un observateur glissant d'Automatique (CIFA2012), Grenoble (France), 4-6 Juillet 2012, pp.937-942 M.ALEXANDRU, T.MONTEIL, Efficient large electromagnetic problem solving International Microwave Symposium (IMS 2012), P.LORENZ, F.COCCETTI, by hybrid TLM and modal approach on grid Montréal (Canada), 17-22 Juin 2012, 4p. H.AUBERT computing S.RAMANATHAN, K.DRIRA, Adaptive communication agent for group International Conference on Collaboration C.CHASSOT, T.DESPRATS, communication activities Technologies and Infrastructures (IEEE WETICE M.SIBILLA 2012), Toulouse (France), 25-27 Juin 2012, pp.391393 M.LALAMI, D.EL BAZ GPU implementation of the branch and bound IEEE International Parallel & Distributed Processing method for knapsack problems Symposium Workshops (IPDPSW 2012), Shangai (Chine), 21-25 Mai 2012, pp.1763-1771 MAI12156 A.KAMOUN, S.TAZI, K.DRIRA MAI12157 B.F.CORNEA, J.BOURGEOIS, T.T.NGUYEN, D.EL BAZ MAI12170 I.GUIDARA, N.GUERMOUCHE, T.CHAARI, S.TAZI MAI12183 D.EL BAZ, M.HIFI, T.SAADI MAI12188 S.RAMANATHAN, A.KAMOUN, K.DRIRA, C.CHASSOT MAI12201 C.ALBEA SANCHEZ, D.PUSCHINI, S.LESECQ, Y.AKGUL A semantic adaptive framework for collaborative European Concurrent Engineering Conference systems (ECEC) 2012 du 18 avril au 20 avril 2012, Bucarest (Roumanie), Primé meilleur article, 18-20 Avril 2012, pp.10-13 Scalable performance prediction of distributed International Conference on High Performance peer-to-peer applications Computing and Communications (HPCC 2012), Liverpool (UK), 25-27 Juin 2012, pp.193-201 Towards a novel framework for handling multi- Interoperability for Enterprise Systems and level SLA in cross-organizational enterprise Applications (I-ESA 2012). Workshop: Factories of collaboration the future (FoF), Valence (Espagne), 20-23 Mars 2012, pp.267-274 Peer-to-peer solution of 2D cutting stocks Cologne-Twente workshop on Graphs and problems Combinatorial Optimization (CTW 2012), Munich (Allemagne), 29-31 Mai 2012, pp.116-120 Ontology-based collaborative framework for International Conference on Collaboration disaster recovery scenarios Technologies and Infrastructures (IEEE WETICE 2012), Toulouse (France), 25-27 Juin 2012, pp.104106 Advanced coupled voltage-frequency control for Annual Conference of the IEEE Industrial Electronics power efficient DVFS management Society ( IECON ) 2012 du 25 octobre au 28 octobre 2012, Montréal (Canada), 2012, 10p. Scientific Production — 31 MAI12219 N.KHABOU, I.BOUASSIDA MAI12222 I.LAHYANI, I.BOUASSIDA, M.JMAIEL, C.CHASSOT MAI12226 MAI12247 MAI12263 MAI12314 Towards a novel analysis approach collaborative ubiquitous systems for International Conference on Collaboration Technologies and Infrastructures (IEEE WETICE 2012), Toulouse (France), 25-27 Juin 2012, pp.30-35 Towards self healing publish/subscribe system International Conference on Collaboration on MANET Technologies and Infrastructures (IEEE WETICE 2012), Toulouse (France), 25-27 Juin 2012, pp.385390 D.CARVIN, P.OWEZARSKI, Managing the upcoming ubiquitous computing International Conference on Network and Service P.BERTHOU Management ( CNSM ) 2012 du 22 octobre au 26 octobre 2012, Las Vegas (USA), 2012, 4p. S.GIL CASALS, P.OWEZARSKI, Risk assessment for airworthiness security International Conference on Computer Safety, G.DESCARGUES Reliability and Security, Fast Abstracts ( SafeComp ) 2012 du 25 septembre au 28 septembre 2012, Magdeburg (Allemagne), Septembre 2012, 8p. I.LAHYANI, L.BEN AMOR, Analytical framework for QoS aware IEEE International Symposium on Parallel and M.JMAIEL, K.DRIRA, C.CHASSOT publish/subscribe system deployed on MANET Distributed Processing with Applications (ISPA 2012), Madrid (Espagne), 10-13 Juillet 2012, 7p. E.MEZGHANI, R.BEN HALIMA DRF4SOA: a dynamic reconfigurable framework International Conference on Collaboration for designing autonomic application based on Technologies and Infrastructures (IEEE WETICE SOA 2012), Toulouse (France), 25-27 Juin 2012, pp.95-97 MAI12315 M.BEN ALAYA, T.MONTEIL FRAMESELF: a generic context-aware International Conference on Collaboration autonomic framework for self-management of Technologies and Infrastructures (IEEE WETICE distributed systems 2012), Toulouse (France), 25-27 Juin 2012, pp.60-65 MAI12316 C.DIOP, E.EXPOSITO, C.CHASSOT, D.JLIDI QoS-aware service bus MAI12317 G.GHARBI, M.BEN ALAYA, C.DIOP, E.EXPOSITO MAI12325 K.E.AVRACHENKOV, U.AYESTA, Optimal congestion control of TCP flows for The Workshop on MAthematical performance J.DONCEL, P.JACKO internet routers Modeling and Analysis (MAMA 2012), Londres (UK), 15 Juin 2012, 3p. M.BEN ALAYA, T.MONTEIL, Autonomic framework based on semantic ACM International Conference on Ubiquitous K.DRIRA models for self-management of ubiquitous Computing ( UBICOMP ) 2012 du 05 septembre au systems 08 septembre 2012, Pittsburg (USA), 2012, 3p. A.BARAEV, U.AYESTA, Technical vulnerability of the E-UTRAN paging IEEE Wireless Communications and Networking I.M.VERLOOP, D.MIORANDI, mechanism Conference (WCNC 2012) , Paris (France), 1-4 Avril 2012, 6p. I.CHLAMTAC M.BEN HAMOUDA, O.BRUN, Robust link weight optimization under demand Sciences of Electronics Technologies of Information J.M.GARCIA uncertainty and Telecommunications (SETIT 2012), Sousse (Tunisie), 21-24 Mars 2012, 9p. A.EL FATNI, G.JUANOLE Split phase multi-channel MAC protocols - IEEE International Symposium on Modeling Analysis Formal specification and analysis and Simulation of Computer and Telecommunication Systems (MASCOTS'2012), Washington (USA), 7-9 Août 2012, pp.485-488 M.ALEXANDRU, T.MONTEIL, Large scale electromagnetic problem on large International Conference on High Performance F.COCCETTI, H.AUBERT, scale parallel computing systems Computing and Simulation (HPCS 2012), Madrid (Espagne), 2-6 Juillet 2012, 7p. P.LORENZ N.GUERMOUCHE, S.DAL ZILIO Towards timed requirement verification for IEEE International Conference on Collaborative service choreographies Computing: Networking, Applications and Worksharing ( COLLABORATECOM ) 2012 du 14 octobre au 17 octobre 2012, Pittsburgh (USA), Octobre 2012, 10p. M.ALEXANDRU, T.MONTEIL, Electromagnetic modeling of complex structures European Microwave Conference ( EuMC ) 2012 du P.LORENZ, F.COCCETTI, by TLM/modal hybrid approach with efficient 28 octobre au 02 novembre 2012, Amsterdam (Pays parallel computing Bas), 2012, pp.249-252 H.AUBERT A.HAKIRI, P.BERTHOU, Analyse de solutions pour la mise en oeuvre Colloque Francophone sur l'Ingénierie des S.ABDELLATIF, M.DIAZ, d’applications DDS sur réseaux grande distance Protocoles/Nouvelles Technologies de ( CFIP/NOTERE ) 2012 du 29 octobre au 31 octobre T.GAYRAUD 2012, Anglet (France), 2012, pp.105-112 J.VIZCARRONDO, J.AGUILAR, ARMISCOM: Autonomic Reflective MIddleware Global Information Infrastructure and Networking E.EXPOSITO, A.SUBIAS for management service COMposition Symposium ( GIIS ) 2012 du 17 décembre au 19 décembre 2012, Choroni (Venezuela), Décembre 2012, 8p. A.DHRAIEF, M.GHORBALI, HBMON: a HIP-based mm overlay network International Conference on the Network of the T.BOUALI, A.BELGHITH, K.DRIRA Future ( NoF ) 2012 du 21 novembre au 23 novembre 2012, Tunis (Tunisie), Novembre 2012, 11p. MAI12376 MAI12385 MAI12446 MAI12461 MAI12471 MAI12495 MAI12505 MAI12586 MAI12595 MAI12604 and ontology-driven autonomic International Conference on Collaboration Technologies and Infrastructures (IEEE WETICE 2012), Toulouse (France), 25-27 Juin 2012, pp.417422 AODA: an autonomic and ontology-driven International Conference on Collaboration architecture for service-oriented and event- Technologies and Infrastructures (IEEE WETICE driven systems 2012), Toulouse (France), 25-27 Juin 2012, pp.72-77 Scientific Production — 32 MAI12616 B.PRABHU, A.E.TUGUI, I.M.VERLOOP MAI12620 A.EL FATNI MAI12661 M.BEN ALAYA, S.MATOUSSI, T.MONTEIL, K.DRIRA MAI12666 T.T.NGUYEN, D.EL BAZ MAI12670 M.BEN ALAYA, T.MONTEIL, K.DRIRA MAI12736 L.BERTAUX, T.GAYRAUD, P.BERTHOU MAI12791 I.TOUNSI, M.HADJ KACEM, A.HADJ KACEM, K.DRIRA MAI12825 I.GUIDARA, T.CHAARI, K.FAKHFAKH, M.JMAIEL MAI12837 A.D.NGUYEN, P.SENAC, M.DIAZ MAI13022 J.DONCEL, B.PRABHU, O.BRUN, U.AYESTA S.TEMBO MOUAFO, T.T.NGUYEN, D.EL BAZ MAI13030 MAI13034 C.EICHLER, G.GHARBI, N.GUERMOUCHE, T.MONTEIL MAI13036 S.KHEMAKHEM, K.DRIRA, M.JMAIEL MAI13039 D.ALLISON, M.A.M.CAPRETZ, S.TAZI MAI13049 M.OULMAHDI, C.CHASSOT, E.EXPOSITO MAI13059 S.GIL CASALS, P.OWEZARSKI, G.DESCARGUES MAI13061 S.CHEIKHROUHOU, S.KALLEL, N.GUERMOUCHE, M.JMAIEL MAI13071 I.TOUNSI, H.ZIED, M.HADJ KACEM, A.HADJ KACEM, K.DRIRA I.TOUNSI, M.HADJ KACEM, A.HADJ KACEM, K.DRIRA MAI13074 MAI13086 V.BOYER, D.EL BAZ MAI13104 X.NGUYEN, G.JUANOLE, G.MOUNEY Steady-state approximations of dynamic speed- International Conference on NETwork Games, scaling in data centers COntrol and OPtimization ( NETGCOOP ) 2012 du 28 novembre au 30 novembre 2012, Avignon (France), Novembre 2012, 4p. Modelling and quantitative analysis of the split ACM International Conference on Modeling, Analysis phase multi-channel MAC approach and Simulation of Wireless and Mobile Systems ( MSWIM ) 2012 du 21 octobre au 25 octobre 2012, Paphos (Chypre), 2012, pp.285-294 Autonomic computing system for self- International Workshop on Self-Aware Internet of management of machine-to-machine networks Things ( SELF-IOT ) 2012 du 17 septembre au 17 septembre 2012, San Jose (USA), 2012, 6p. Fault tolerant implementation of peer-to-peer International Conference on Computational Science distributed iterative algorithms and Engineering ( CSE ) 2012 du 05 décembre au 07 décembre 2012, Paphos (Chypre), 2012, 9p. Autonomic management of M2M systems: ETSI TC M2M Workshop Standardized framework application to smart metering for interoperable M2M Services ( ETSI ) 2012 du 23 octobre au 25 octobre 2012, Mandelieu (France), 2012, 1p. Architecture multi domiciliée dans les réseaux Colloque Francophone sur l'Ingénierie des mobiles : diminution de l'impact de la mobilité Protocoles/Nouvelles Technologies de ( sur les protocoles de transport CFIP/NOTERE ) 2012 du 29 octobre au 31 octobre 2012, Anglet (France), Octobre 2012, 7p. Towards an Approach for Modeling and Annual ACM Symposium on Applied Computing Formalizing SOA Design Patterns with Event-B 2013 du 18 mars au 22 mars 2013, Coimbra (Portugal), Mars 2013, 3p. A comprehensive survey on intra and inter International Conference on Collaboration organizational agreements Technologies and Infrastructures ( WETICE ) 2012 du 25 juin au 26 juin 2012, Toulouse (France), 24-25 Juin 2012, pp.411-416 Understanding and modeling the small-world ACM International Conference on Modeling, Analysis phenomenon in dynamic networks and Simulation of Wireless and Mobile Systems ( MSWIM ) 2012 du 21 octobre au 25 octobre 2012, Paphos (Chypre), Octobre 2012, pp.377-384 On the efficiency of non-cooperative load balancing Distributed Iterative Solution of Numerical Simulation problems on infiniband and ethernet clusters via the P2PSAP self-adaptive protocol IFIP Networking Conference 2013 du 22 mai au 24 mai 2013, Brooklyn (USA), Mai 2013, 15p. Euromicro International Conference on Parallel, Distributed and Network-Based Processing 2013 du 27 février au 01 mars 2013, Belfast (Irelande), Février 2013, 5p. Graph-based formalism for Machine-to-Machine IEEE International Conference on Enabling self-managed communications Technologies: Infrastructures for Collaborative Enterprises ( WETICE ) 2013 du 17 juin au 20 juin 2013, Hammamet (Tunisie), Juin 2013, pp.74-79 Semantic matching to achieve software International Conference on Web Information component discovery and composition Systems and Technologies ( WEBIST ) 2013 du 08 mai au 10 mai 2013, Aachen (Allemagne), Mai 2013, 6p. A privacy manager for collaborative working IEEE International Conference on Enabling environments Technologies: Infrastructures for Collaborative Enterprises ( WETICE ) 2013 du 17 juin au 20 juin 2013, Hammamet (Tunisie), Juin 2013, 7p. Reducing energy cost of keepalive messages in International Workshop on Energy-Aware Systems, 3G mobiles Communications and Security ( EASyCoSe ) 2013 du 25 mars au 28 mars 2013, Barcelone (Espagne), Mars 2013, 6p. Generic and autonomous system for airborne Digital Avionics Systems Conference ( DASC ) 2013 networks cyber-threat detection du 06 octobre au 10 octobre 2013, Syracuse (USA), Octobre 2013, 12p. A survey on time-aware business process International Conference on Enterprise Information modeling Systems ( ICEIS ) 2013 du 03 juillet au 07 juillet 2013, Angers (France), Juillet 2013, 10p. Using SoaML models and event-B specifications International Conference on Enterprise Information for modeling SOA design patterns Systems ( ICEIS ) 2013 du 03 juillet au 07 juillet 2013, Angers (France), Juillet 2013, 11p. An approach for modeling and formalizing SOA IEEE International Conference on Enabling design patterns Technologies: Infrastructures for Collaborative Enterprises ( WETICE ) 2013 du 17 juin au 20 juin 2013, Hammamet (Tunisie), Juin 2013, 11p. Recent advances on GPU computing in IEEE International Parallel & Distributed Processing operations research Symposium ( IPDPS ) 2013 du 20 mai au 24 mai 2013, Boston (USA), Mai 2013, 10p. On interplays between the quality of service and International Workshop IEEE Electronics, Control, the quality of control for a co-design approach of Measurement, Signals and their application to a wireless networked control system (WNCS) Mechatronics ( ECMSM ) 2013 du 24 juin au 26 juin 2013, Toulouse (France), Juin 2013, 6p. Scientific Production — 33 MAI13105 G.JUANOLE, X.NGUYEN, G.MOUNEY MAI13109 C.DIOP, E.MEZGHANI, E.EXPOSITO, C.CHASSOT, K.DRIRA MAI13135 MAI13158 MAI13171 MAI13176 MAI13187 MAI13253 MAI13254 MAI13323 MAI13334 MAI13335 MAI13360 MAI13361 MAI13362 MAI13365 MAI13421 MAI13449 MAI13487 Specification of a collision-Free CSMA MAC International Conference on Smart Communications protocols for wireless LANs: the CANlike in Network Technologies ( SaCoNeT ) 2013 du 17 protocol juin au 19 juin 2013, Paris (France), Juin 2013, 5p. QoS-driven autonomic abilities through a multi- IEEE International Conference on Advanced homed transport protocol Information Networking and Applications ( IEEE AINA ) 2013 du 25 mars au 28 mars 2013, Barcelone (Espagne), Mars 2013, 8p. C.DIOP, E.EXPOSITO, QoS and scalability management in an International Conference on Telecommunications ( C.CHASSOT autonomic cloud-based networked service bus ICT ) 2013 du 06 mai au 08 mai 2013, Casablanca (Maroc), Mai 2013, 5p. E.MEZGHANI, R.BEN HALIMA, A model driven methodology for enabling Annual ACM Symposium on Applied Computing I.BOUASSIDA, K.DRIRA autonomic reconfiguration of service oriented 2013 du 18 mars au 22 mars 2013, Coimbra architecture (Portugal), Mars 2013, 2p. D.CARVIN, G.KREMER, Assessment and Event Based Analysis of International Conference on Network and Service P.OWEZARSKI, P.BERTHOU Dynamic Wireless Networks Management ( CNSM ) 2013 du 14 octobre au 18 octobre 2013, Zurich (Suisse), Octobre 2013, pp.175179 S.DHOUIB, R.BEN HALIMA Surveying collaborative and content IEEE International Conference on Enabling management platforms for enterprise Technologies: Infrastructures for Collaborative Enterprises ( WETICE ) 2013 du 17 juin au 20 juin 2013, Hammamet (Tunisie), Juin 2013, 6p. A.JEMAL, R.BEN HALIMA A QoS-driven self-adaptive architecture for IEEE International Conference on Enabling wireless sensor networks Technologies: Infrastructures for Collaborative Enterprises ( WETICE ) 2013 du 17 juin au 20 juin 2013, Hammamet (Tunisie), Juin 2013, 6p. A.GASSARA, I.BOUASSIDA, Towards a multi-scale modeling for architectural European Conference on Software Architecture ( M.JMAIEL deployment based on bigraphs ECSA ) 2013 du 01 juillet au 05 juillet 2013, Montpellier (France), Lecture Notes in Computer Science 7957, Springer, Juillet 2013, 8p. M.A.ZOUARI, I.BOUASSIDA Towards Automated Deployment of Distributed European Conference on Software Architecture ( Adaptation Systems ECSA ) 2013 du 01 juillet au 05 juillet 2013, Montpellier (France), Lecture Notes in Computer Science 7957, Springer, Juillet 2013, 4p. Q.VEY, P.BERTHOU, T.GAYRAUD Improvement of PEAR signaling in energy Wireless Days 2013 du 13 novembre au 15 efficient delay tolerant wireless sensor network novembre 2013, Valence (Espagne), Novembre 2013, 6p. S.TEMBO MOUAFO, D.EL BAZ Distributed resolution of a trajectory optimization IEEE International Conference on Internet of Things problem on a MEMS-based reconfigurable ( iThings ) 2013 du 20 août au 23 août 2013, Beijing modular surface (Chine), Août 2013, pp.707-715 D.EL BAZ, J.BOURGEOIS, ALMA, a logistic mobile application based on IEEE International Conference on Internet of Things T.SAADI, A.BASSI internet of things ( iThings ) 2013 du 20 août au 23 août 2013, Beijing (Chine), Août 2013, pp.355-358 A.DHRAIEF, A.BELGHITH, Autonomic Management of the HIP-based M2M International Conference on Ambient Systems, K.DRIRA, T.BOUALI, Overlay Network Networks and Technologies ( ANT ) 2013 du 25 juin M.GHORBALI au 28 juin 2013, Halifax (Canada), Juin 2013, Paru dans Procedia Computer Science, Vol.19, pp.98-105 M.AISSA, A.BELGHITH, K.DRIRA New strategies and extensions in weighted International Conference on Ambient Systems, clustering algorithms for mobile ad hoc networks Networks and Technologies ( ANT ) 2013 du 25 juin au 28 juin 2013, Halifax (Canada), Juin 2013, Publié dans Procedia Computer Science, Vol.19, pp.297304 M.A.ABID, A.BELGHITH, K.DRIRA SARP: synchronous adaptive routing protocol International Conference on Ambient Systems, for MANETs Networks and Technologies ( ANT ) 2013 du 25 juin au 28 juin 2013, Halifax (Canada), Juin 2013, Paru dans Procedia Computer Science, Vol.19, pp.330339 O.BRUN, B.PRABHU, On the convergence of the best-response International Conference on Performance Evaluation T.SEREGINA algorithm in routing games Methodologies and Tools ( ValueTools ) 2013 du 10 décembre au 12 décembre 2013, Turin (Italie), Décembre 2013, 27p. J.VIZCARRONDO, J.AGUILAR, Distributed chronicles for recognition of failures Conferencia Latinoamericana en Informatica ( CLEI ) A.SUBIAS, E.EXPOSITO in web services composition 2013 du 07 octobre au 11 octobre 2013, Naiguata (Venezuela), Octobre 2013, 10p. A.JEMAL, M.HACHICHA, R.BEN MPaaS: monitoring values prediction as a Wireless Networks and Energy Saving Techniques HALIMA, A.HADJ KACEM, service for energy consumption optimization International Conference on Ambient Systems, K.DRIRA, M.JMAIEL purpose Networks and Technologies ( WNTEST - ANT ) 2014 du 02 juin au 05 juin 2014, Hasselt (Belgique), Juin 2014, 9p. T.GUEROUT, M.BEN ALAYA Autonomic energy-aware tasks scheduling IEEE International Conference on Enabling Technologies: Infrastructures for Collaborative Enterprises ( WETICE ) 2013 du 17 juin au 20 juin 2013, Hammamet (Tunisie), Juin 2013, 6p. Scientific Production — 34 MAI13506 G.ANTICHI, M.BRUYERE, D.CAMPORA PEREZ, G.LIU, N.NEUFELD, P.OWEZARSKI, A.W.MOORE, S.GIORDANO M.SHAHBAZ, G.ANTICHI, Y.GENG, N.ZILBERMAN, A.COVINGTON, M.BRUYERE, N.FEAMSTER, N.MC KEOWN, B.FELDERMAN, M.BLOTT, A.W.MOORE, P.OWEZARSKI A.D.NGUYEN, P.SENAC, M.DIAZ Time structure analysis of the lhcb daq network International Conference on Computing in High Energy and Nuclear Physics ( CHEP ) 2013 du 14 octobre au 18 octobre 2013, Amsterdam (Pays Bas), Octobre 2013, 6p. Architecture for an Open Source Network Tester ACM/IEEE Symposium on Architectures for Networking and Communications Systems ( ANCS ) 2013 du 21 octobre au 22 octobre 2013, San Jose (USA), Octobre 2013, 2p. MAI13778 M.BEN ALAYA, C.CHASSOT, K.DRIRA, T.MONTEIL MAI14005 R.TURC, P.OWEZARSKI, V.NICOMETTE OM2M: open autonomic and semantic M2M ETSI M2M Workshop 2013 du 05 novembre au 07 platform novembre 2013, Mandelieu (France), Novembre 2013, 1p. (Résumé) Classification et caractérisation non supervisée Conférence sur la Sécurité des Architectures des attaques vers des pots de miel Réseaux et Systèmes d'Information ( SAR-SSI ) 2014 du 13 mai au 16 mai 2014, Lyon (France), Mai 2014, 11p. MAI13511 MAI13512 MAI13514 MAI13541 MAI13542 MAI13565 MAI13576 MAI13581 MAI13594 MAI13605 MAI13607 MAI13626 MAI13724 MAI13735 MAI13736 MAI13742 How disorder impacts routing in human-centric ACM SIGCOMM Workshop on Future Humandisruption tolerant networks Centric Multimedia Networking ( SIGCOMM-FhMN ) 2013 du 12 août au 16 août 2013, Hong Kong (Chine), Août 2013, pp.47-52 G.KREMER, P.OWEZARSKI, Predictive estimation of wireless link International Workshop on Traffic Monitoring and P.BERTHOU, G.CAPDEHOURAT performance from medium physical parameters Analysis ( TMA ) 2014 du 14 avril au 14 avril 2014, using support vector regression and k-nearest Londres (UK), Avril 2014, 6p. neighbors J.KOH DZUL, M.VARGASA smart diagnostic model for an autonomic International Conference on Autonomic and Trusted SANTIAGO, C.DIOP, service bus based on a probabilistic reasoning Computing ( ATC ) 2013 du 18 décembre au 21 E.EXPOSITO, F.J.MOO-MENA approach décembre 2013, Vietri sul Mare (Italie), Décembre 2013, pp.416-421 L.GRIECO, M.BEN ALAYA, Architecting information centric ETSI-M2M IEEE International Conference on Pervasive T.MONTEIL, K.DRIRA systems Computing and Communications ( PerCom ) 2014 du 24 mars au 28 mars 2014, Budapest (Hongrie), Mars 2014, 10p. K.GROLINGER, M.A.M.CAPRETZ, Knowledge as a service framework for disaster IEEE International Conference on Enabling E.MEZGHANI, E.EXPOSITO data management Technologies: Infrastructures for Collaborative Enterprises ( WETICE ) 2013 du 17 juin au 20 juin 2013, Hammamet (Tunisie), Juin 2013, 6p. K.E.AVRACHENKOV, K.DE Information dissemination processes in directed International Workshop on Modeling, Analysis and TURCK, D.FIEMS, B.PRABHU social networks Management of Social Networks and their Applications ( SOCNET - MMB & DFT ) 2014 du 19 mars au 19 mars 2014, Bamberg (Allemagne), Mars 2014, 7p. J.VALLET, O.BRUN Adaptive routing in IP networks using SNMP link International Teletraffic Congress ( ITC ) 2013 du 10 counts septembre au 12 septembre 2013, Shanghai (Chine), Septembre 2013, 3p. S.CHEIKHROUHOU, S.KALLEL, Towards timed business process view IEEE International Conference on e-Business N.GUERMOUCHE, M.JMAIEL generation Engineering ( ICEBE ) 2013 du 11 septembre au 13 septembre 2013, Coventry (UK), Septembre 2013, 6p. A.D.NGUYEN, P.SENAC, M.DIAZ On the impact of disorder on dynamic network Annual International Conference on Computer navigation Communications ( IEEE INFOCOM ) 2013 du 14 avril au 19 avril 2013, Turin (Italie), Avril 2013, 2p. A.IZAGIRRE, U.AYESTA, Sojourn time approximations in a multi-class Annual International Conference on Computer I.M.VERLOOP time-sharing server Communications ( IEEE INFOCOM ) 2014 du 27 avril au 02 mai 2014, Toronto (Canada), Avril 2014, 9p. O.BOUACHIR, F.GARCIA, Ad hoc network QoS architecture for Wireless Days 2013 du 13 novembre au 15 N.LARRIEU, T.GAYRAUD cooperative Unmanned Aerial Vehicles (UAVs) novembre 2013, Valence (Espagne), Novembre 2013, 4p. M.A.HANNACHI, I.BOUASSIDA, GMTE: a tool for graph transformation and Workshop on Graph-based Representations in K.DRIRA, S.EPOMARES exact/inexact graph matching Pattern Recognition 2013 du 15 mai au 17 mai 2013, Vienne (Autriche), Mai 2013, 10p. HERNANDEZ K.DRIRA, S.KALLEL, Adaptive and reconfigurable service-oriented IEEE International Workshop on Enabling I.BOUASSIDA and component-based applications and Technologies - Infrastructure for Collaborative achitectures (AROSA 2013) Enterprises ( WETICE ) 2013 du 17 juin au 20 juin 2013, Hammamet (Tunisie), Juin 2013, 2p. S.CHEIKHROUHOU, S.KALLEL, Toward a time-centric modeling of Business International Conference on Information Integration N.GUERMOUCHE, M.JMAIEL Processes in BPMN 2.0. and Web-based Applications & Services ( iiWAS ) 2013 du 02 décembre au 04 décembre 2013, Vienne (Autriche), Décembre 2013, 9p. M.OULMAHDI, C.CHASSOT, An energy aware TCP for multimedia streaming International Conference on Smart Communications E.EXPOSITO in Network Technologies ( SaCoNeT ) 2013 du 17 juin au 19 juin 2013, Paris (France), Juin 2013, 5p. Scientific Production — 35 MAI14035 MAI14036 MAI14040 MAI14042 MAI14098 MAI14099 MAI14165 MAI14167 MAI14168 MAI14181 MAI14182 MAI14191 MAI14195 MAI14263 MAI14269 MAI14273 MAI14276 H.BEN CHEIKH, J.DONCEL, O.BRUN, B.PRABHU Predicting response times of applications in IEEE Symposium on Network Cloud Computing and virtualized environments Applications ( NCCA ) 2014 du 05 février au 07 février 2014, Rome (Italie), Février 2014, 9p. O.BRUN, R.EL AZOUZI, Modeling rewards and incentive mechanisms for International Symposium on Modeling and B.PRABHU, T.SEREGINA delay tolerant networks Optimization in Mobile, Ad Hoc and Wireless Networks ( WiOpt ) 2014 du 12 mai au 16 mai 2014, Hammamet (Tunisie), Mai 2014, 8p. I.GUIDARA, N.GUERMOUCHE, Pruning based service selection approach under IEEE International Conference on Web Services ( T.CHAARI, S.TAZI, M.JMAIEL QoS and temporal constraints ICWS ) 2014 du 27 juin au 02 juillet 2014, Alaska (USA), Juin 2014, 8p. D.EL BAZ, T.T.NGUYEN, HPC applications deployment on distributed Euromicro Conference on Parallel, Distributed and G.JOURJON, T.RAKOTOARIVELO heterogeneous computing platforms via OMF, Network-Based Processing ( PDP ) 2014 du 12 OML and P2PDC février au 14 février 2014, Turin (Italie), Février 2014, 7p. M.LARRANAGA, U.AYESTA, Index policies for a multi-class queue with ACM Sigmetrics 2014 du 16 juin au 20 juin 2014, I.M.VERLOOP convex holding cost and abandonments Austin (USA), Juin 2014, pp.125-137 C.RUIZ, M.ALEXANDRU, Platform calibration for load balancing of large IEEE/ACM International Symposium on Cluster, O.RICHARD, T.MONTEIL, simulations: TLM case Cloud and Grid Computing ( IEEE/ACM CCGrid ) 2014 du 26 mai au 29 mai 2014, Chicago (USA), H.AUBERT Mai 2014, 8p. I.MAHJRI, A.DHRAIEF, The coverage configuration protocol under AT- International Conference on Ambient Systems, I.MABROUKI, A.BELGHITH, Dist localization Networks and Technologies ( ANT ) 2014 du 02 juin au 05 juin 2014, Hasselt (Belgique), Juin 2014, K.DRIRA Proceedings paru dans Procedia Computer Science, Vol.32, pp.141-8148 A.ABID, M.T.KHEMAKHEM, Toward antifragile cloud computing International Workshop “From Dependable to S.MARZOUK, M.BEN JEMAA, infrastructures Resilient, from Resilient to Antifragile Ambients and Systems” - International Conference on Ambient T.MONTEIL, K.DRIRA Systems, Networks and Technologies ( ANTIFRAGILE - ANT ) 2014 du 02 juin au 05 juin 2014, Hasselt (Belgique), Juin 2014, Proceedings parus dans Procedia Computer Science, Vol.32, pp.850-855 H.SOURI, A.DHRAIEF, S.TLILI, Smart metering privacy-preserving techniques in International Workshop on Recent Advances on K.DRIRA, A.BELGHITH a nutshell Machine-to-Machine Communication - International Conference on Ambient Systems, Networks and Technologies ( RAMCOM - ANT ) 2014 du 02 juin au 05 juin 2014, Hasselt (Belgique), Juin 2014, Proceedings parus dans Procedia Computer Science, Vol.32, pp.1087-1094 N.KHABOU, I.BOUASSIDA, A threshold based context change detection in International Conference on Ambient Systems, G.GHARBI, M.JMAIEL pervasive environments: application to a smart Networks and Technologies ( ANT ) 2014 du 02 juin campus au 05 juin 2014, Hasselt (Belgique), Juin 2014, Proceedings paru dans Procedia Computer Science , Vol.32, pp.461-468 G.GHARBI, N.GUERMOUCHE, Timed verification of machine to machine International Workshop on Recent Advances on T.MONTEIL communications Machine-to-Machine Communication - International Conference on Ambient Systems, Networks and Technologies ( RAMCOM - ANT ) 2014 du 02 juin au 05 juin 2014, Hasselt (Belgique), Juin 2014, Proceedings paru dans Procedia Computer Science, Vol.32, pp.1071-1078 K.DRIRA, S.KALLEL, AROSA 2014: Adaptive and reconfigurable Adaptive and reconfigurable service-oriented and I.BOUASSIDA service-oriented and component-based component-based applications and architectures ( applications and architectures AROSA ) 2014 du 23 juin au 25 juin 2014, Parme (Italie), Juin 2014, 2p. S.CHEIKHROUHOU, S.KALLEL, Enhancing formal specification and verification IEEE International Conference on Services N.GUERMOUCHE, M.JMAIEL of temporal constraints in business processes Computing ( IEEE SCC ) 2014 du 27 juin au 02 juillet 2014, Anchorage (USA), Juin 2014, 8p. M.BEN ALAYA, Y.BANOUAR, OM2M: extensible ETSI-compliant M2M International Workshop on Recent Advances on T.MONTEIL, C.CHASSOT, platform with self-configuration capability Machine-to-Machine Communication - International Conference on Ambient Systems, Networks and K.DRIRA Technologies ( RAMCOM - ANT ) 2014 du 02 juin au 05 juin 2014, Hasselt (Belgique), Juin 2014, 8p. G.DUGUE, M.OULMAHDI, Design principles of a service oriented and IEEE International Conference on Enabling C.CHASSOT component-based autonomic transport layer Technologies: Infrastructures for Collaborative Enterprises ( WETICE ) 2014 du 23 juin au 25 juin 2014, Parme (Italie), Juin 2014, 3p. G.GHARBI, N.GUERMOUCHE, Temporal verification of mobile publish/subscribe IEEE International Symposium on a World of T.MONTEIL machine-to-machine communications Wireless, Mobile and Multimedia ( WOWMOM ) 2014 du 16 juin au 19 juin 2014, Sydney (Australie), Juin 2014, 3p. M.OULMAHDI, G.DUGUE, Towards a service-oriented and component- International Conference on Smart Communications C.CHASSOT based transport layer in Network Technologies ( SaCoNeT ) 2014 du 18 juin au 20 juin 2014, Vilanova i la Geltru (Espagne), Juin 2014, 6p. Scientific Production — 36 MAI14295 MAI14296 MAI14342 MAI14349 MAI14350 D.EL BAZ, B.PIRANDA, J.BOURGEOIS A distributed algorithm for a reconfigurable IEEE International Parallel & Distributed Processing modular surface Symposium ( IPDPS ) 2014 du 19 mai au 23 mai 2014, Phoenix (USA), Mai 2014, 7p. Y.BANOUAR, T.MONTEIL, M.BEN OM2M: standardized service platform for M2M EclipseCon 2014 du 18 juin au 19 juin 2014, ALAYA, C.CHASSOT, K.DRIRA interoperability Toulouse (France), Juin 2014, 1p. I.GUIDARA, T.CHAARI, M.JMAIEL An efficient service selection approach with time-IEEE International Conference on Enabling dependent QoS Technologies: Infrastructures for Collaborative Enterprises ( WETICE ) 2014 du 23 juin au 25 juin 2014, Parme (Italie), Juin 2014, 8p. H.KHLIF, H.HADJ KACEM, A Graph transformation-based approach for the IEEE International Conference on Enabling S.EPOMARES HERNANDEZ, validation of checkpointing algorithms in Technologies: Infrastructures for Collaborative distributed systems Enterprises ( WETICE ) 2014 du 23 juin au 25 juin C.EICHLER, A.HADJ KACEM, A.CALIXTO SIMON 2014, Parme (Italie), Juin 2014, 6p. W.A.HIGASCHINO, C.EICHLER, From inception to execution: query management IEEE International Conference on Enabling M.A.M.CAPRETZ, T.MONTEIL, for complex event processing as a service Technologies: Infrastructures for Collaborative Enterprises ( WETICE ) 2014 du 23 juin au 25 juin M.B.DE TOLEDO, P.STOLF 2014, Parme (Italie), Juin 2014, 3p. [MAN] Ref Conferences with published proceedings -‐national-‐ Authors Title MAN09053 A.EL FATNI, T.VAL, G.JUANOLE MAN09225 MAN09540 MAN10036 MAN10132 MAN10239 MAN10266 MAN10301 MAN10368 MAN10554 MAN11426 MAN12078 MAN12109 Published in: Classification de protocoles MAC multi-canaux Journées Doctorales en Informatique er réseaux dans les réseaux locaux sans fil maillés (JDIR'09), Belfort (France), 2-4 Février 2009, 7p. P.OWEZARSKI, G.FERNANDES Classification automatique d'anomalies du trafic 4ème Conférence sur la Sécurité des Architectures RAPHANELLI Réseaux et des Systèmes d'Information (SARSSI 2009), Luchon (France), 23-26 Juin 2009, pp.77-96 T.T.NGUYEN, D.EL BAZ Un protocole de communication auto-adaptatif Rencontres francophones du Parallélisme, pour le calcul intensif pair à pair Symposium en Architecture de machines, Conférence Française sur les Systèmes d'Exploitation, RenPar'19/SympA'13/CFSE'7, Toulouse (France), 9-11 Septembre 2009 I.BOUASSIDA, C.CHASSOT, Graph grammar-based transformation for 4ème Conférence francophone sur les Architectures M.JMAIEL context-aware architectures supporting group Logicielles (CAL 2010) communication , Pau (France), 9-11 Mars 2010, pp.29-41 J.MAZEL, P.OWEZARSKI, Y.LABIT Oday anomaly detection through machine Conférence sur la Sécurité des Architectures learning Réseaux et Systèmes d'Information (SAR-SSI), Menton (France), 18-21 Mai 2010, 18p. S.RAHME, F.GOUAISBAUT, Observation des anomalies dans le réseau TCP: Colloque ARC (Automatique et Réseaux de Y.LABIT utilisation d'observateurs glissants Communication), Paris (France), Avril 2010, 1p. P.E.HLADIK, F.PERES, X.SHI Analyse d'un modèle AADL à l'aide de Pola Approches Formelles dans l'Assistance au Développement de Logiciels (AFADL'2010), Poitiers (France), 9-11 Juin 2010, pp.239-243 M.BEN HAMOUDA, O.BRUN, Optimisation robuste du routage IP avec Rencontres Francophones sur les Aspects J.M.GARCIA incertitude sur la demande Algorithmiques de Télécommunications (ALGOTEL), Belle Dune (France), 31 Mai - 3 Juin 2010, 4p. K.FAKHFAKH, T.CHAARI, S.TAZI, Modélisation et alignement sémantique des M.JMAIEL, I.GUIDARA intentions des clients avec les offres des fournisseurs M.LAMOLLE, J.GOMEZ MODA: une architecture multimédia dirigée par MONTALVO, E.EXPOSITO les ontologies pour des systèmes multimédia en réseau C.ALBEA SANCHEZ, Control robusto y optimo de una FLL en D.PUSCHINI, S.LESECQ, nanocircuitos C.EICHLER, I.BOUASSIDA, Caractérisation de la reconfiguration dynamique K.DRIRA, T.MONTEIL, P.STOLF des architectures logicielles par les grammaires de graphe H.AROUS, J.GOMEZ MONTALVO, Approche de déploiement automatique basé sur T.VILLEMUR, E.EXPOSITO les modèles sémantiques MAN12120 I.KHLIF, M.HADJ KACEM, K.DRIRA Une approche de description multi-échelles et multi points de vue pour les architectures logicielles dynamiques Grid'5000 energy-aware experiments with DVFS MAN12662 T.GUEROUT, G.DA COSTA, T.MONTEIL, M.ALEXANDRU MAN12704 T.GUEROUT, T.MONTEIL, G.DA COSTA, M.ALEXANDRU Simulation énergétique de tâches distribuées avec changements dynamiques de fréquence MAN13318 N.ABID, I.LAHYANI, I.BOUASSIDA, M.JMAIEL Exploration de l'espace des configurations architecturales guidé par la QdS des systèmes Publier/Souscrire sur MANET Scientific Production — 37 Conférence francophone sur les Architectures Logicielles (CAL 2010), Pau (France), 9-11 Mars 2010, 14p. Conférence francophone sur les Architectures Logicielles (CAL 2010), Pau (France), 9-11 Mars 2010, 12p. Jornadas de Automatica (JA 2011), Séville (Espagne), 7-9 Septembre 2011, 8p. Conférence francophone sur les Architectures Logicielles (CAL 2012), Montpellier (France), 29-31 Mai 2012, pp.58-68 Conférence francophone sur les Architectures Logicielles (CAL 2012), Montpellier (France), 29-31 Mai 2012, 11p. Conférence francophone sur les Architectures Logicielles (CAL 2012), Montpellier (France), 29-31 Mai 2012, 9p. Grid’5000 School 2012 du 03 décembre au 06 décembre 2012, Nantes (France), Décembre 2012, 7p. Conférence d’informatique en Parallélisme, Architecture et Système ( ComPAS ) 2013 du 15 janvier au 18 janvier 2013, Grenoble (France), Janvier 2013, 8p. Conférence francophone sur les Architectures Logicielles ( CAL ) 2013 du 30 mai au 31 mai 2013, Toulouse (France), Mai 2013, 6p. [MSI] Ref Conferences without proceedings -‐international-‐ Authors Title MSI12330 M.BEN ALAYA OSGI in action. YPBL cookbook MSI12498 E.MEZGHANI, C.DIOP Service component architecture cookbook MSI12798 A.KAMOUN yPBL cookbook: virtuoso MSI12858 M.A.HANNACHI, I.BOUASSIDA GMTE yPBL cookbook MSI13251 F.SALLEM, B.DAHHOU, Z.LI, A.KAMOUN, A.KAMOUN MSI14222 E.MEZGHANI, K.DRIRA, E.EXPOSITO System inversion actuators fault detection and isolation for linear systems: application to a nuclear reactor An interactive knowledge sharing platform for scientific organization and research activities management [MSN] Ref Conferences without proceedings -‐national-‐ Authors Title MSN08715 E.ALBU, P.BERTHOU, T.GAYRAUD E.ALBU, P.BERTHOU, T.GAYRAUD, Y.LABIT G.SANCHO MSN09740 Les systèmes de communication sans fil directifs Sur la synchronisation dans les réseaux des capteurs sans fil Modélisation multi-niveau pour des systèmes ubiquitaires collaboratifs A.HAKIRI, P.BERTHOU, Architecture de communication pour T.GAYRAUD l'interconnexion temps réel de simulateurs distribuée A.HAKIRI, P.BERTHOU, Mise en oeuvre de simulateurs de conduite : de T.GAYRAUD la conception à l'implémentation D.EL BAZ, L. DUMAS, V.BOYER, Parallélisation de méthode d'optimisation entière M.ELKIHEL, J.M.ENJALBERT sur GPU M.LALAMI, D.EL BAZ, M.ELKIHEL, Une heuristique pour le problème du sac à dos V.BOYER multiple en varaibles 0-1 V.BOYER, D.EL BAZ, M.ELKIHEL Programmation dynamique dense sur GPU MSN09893 I.BOUASSIDA MSN09300 MSN09389 MSN09629 MSN09630 MSN09673 MSN09674 MSN10217 MSN10296 MSN10729 MSN10964 MSN11127 MSN11177 MSN11276 MSN11307 MSN11319 Rule-driven approach for architectural self configuration using graph grammars Aide à la décision pour l'allocation et l'ordonnancement des taches avioniques Etude des applications de simulation distribuée interactive et l'algorithme dead reckoning Ordonnacement de tâches sous contrainte de périodicité stricte Published in: International Collaborative Tutorial of Multimedia Ontology-driven Learning Collaborative Approaches (MOLCA 2012), Toulouse (France), 28 Juin 2012, 37p. International Collaborative Tutorial of Multimedia Ontology-driven Learning Collaborative Approaches (MOLCA 2012), Toulouse (France), 28 Juin 2012, 47p. International Collaborative Tutorial of Multimedia Ontology-driven Learning Collaborative Approaches ( MOLCA ) 2012 du 28 juin au 28 juin 2012, Toulouse (France), Juin 2012, 36p. International Collaborative Tutorial of Multimedia Ontology-driven Learning Collaborative Approaches ( MOLCA ) 2012 du 28 juin au 28 juin 2012, Toulouse (France), Juin 2012, 31p. Small Workshop on Interval Methods ( SWIM ) 2013 du 05 juin au 07 juin 2013, Brest (France), Juin 2013, 12p. Semantic MediaWiki Conference Spring (SMWCon Spring ) 2014 du 21 mai au 23 mai 2014, Montréal (Canada), Mai 2014, 24p. Published in: EDSYS 2009. 10ème Congrès de Doctorants, Toulouse (France), 14-15 Mai 2009, 6p. RESCOM 2009, La Palmyre (France), 7-12 Juin 2009, 2p. EDSYS 2009. 10ème Congrès de Doctorants, Toulouse (France), 14-15 Mars 2009, 6p. EDSYS 2009. 10ème Congrès de Doctorants, Toulouse (France), 14-15 Mai 2009, pp.1-8 Pôle et Ecole de recherche RESCOM 2009, Palmyre (France), 7- 12 Juin 2009 ROADEF 2010, Toulouse (France), 24-26 Février 2010, 2p. ROADEF 2010, Toulouse (France), 24-26 Février 2010, 2p. ROADEF 2010, Toulouse (France), Février 2010, 2p. RESCOM 2009, La Palmyre (France), 7-12 Juin 2009, 2p. A.AL SHEIKH EDSYS 2010. 11ème Congrès de Doctorants, Toulouse (France), 6-7 Mai 2010, 6p. A.HAKIRI EDSYS 2010. 11ème Congrès de Doctorants, Toulouse (France), 6-7 Mai 2010, 8p. A.AL SHEIKH, O.BRUN, Congrès Annuel de la Société Française de P.E.HLADIK Recherche Opérationnelle et d'Aide à la Décision (ROADEF 2011), St Etienne (France), 2-4 Mars 2011, Vol.I, pp.55-56 X.NGUYEN Réseaux de communication sans fil et EDSYS 2010. 11ème Congrès de Doctorants, applications de contrôle-commande : étude de Toulouse (France), 5-6 Mai 2010, 6p. l'influence du protocole MAC M.LALAMI, M.ELKIHEL, D.EL BAZ, Heuristics for 0-1 multiple knapsack problems: Congrès Annuel de la Société Française de V.BOYER comparison of methods Recherche Opérationnelle et d'Aide à la Décision (ROADEF 2011), St Etienne (France), 2-4 Mars 2011, 2p. H.AROUS, T.VILLEMUR, Conception d'un service de communication de Congrès des Doctorants EDSYS 2011, Toulouse K.DRIRA, E.EXPOSITO groupe adaptif (France), 10-11 Mai 2011, 7p. G.LATHOUMETIE Conception et évaluation d'un système local au Congrès des Doctorants EDSYS 2011, Toulouse terminal pour une gestion unifiée de la Qualité (France), 10-11 Mai 2011, 6p. de Service. G.KREMER Métrologie des réseaux sans-fil RESCOM 2011, La Palmyre (France), 6-10 Juin 2011, 2p. X.NGUYEN, G.MOUNEY Spécification du protocole "CAN like" pour la Ecole d'Eté Temps Réel (ETR'11), Brest (France), 29 couche MAC des réseaux locaux sans fil Août - 2 Septembre 2011, 4p. Scientific Production — 38 [OAI] Ref Books (author) -‐international-‐ Authors Title OAI11762 D.GARDUNO, M.DIAZ OAI13009 E.EXPOSITO OAI14243 E.EXPOSITO, C.DIOP [OAN] Ref Books (author) -‐national-‐ Authors OAN09586 T.MONTEIL, V.NICOMETTE, F.POMPIGNAC, S. HERNANDO [OEI] Ref Books (editor) -‐international-‐ Authors Title OEI09048 D.EL BAZ, F.SPIES, T.GROSS OEI09220 OEI09466 M.PAPADOPOULI, P.OWEZARSKI, A.PRAS S.TAZI OEI09801 M.DIAZ OEI10649 D.AVRESKY, M.DIAZ, A.BODE, B.CICIANI, E.DEKEL OEI10890 K.DRIRA, M.JMAIEL, A.HADJ KACEM OEI11633 OEI12834 OEI13252 OEI13306 OEI13580 Published in: Communicating systems with UML 2. Modeling and analysis of network protocols Advanced Transport Protocols. Designing the next generation Smart SOA platforms in architectures Wiley ISTE Ltd, N°ISBN 978-1-84821-299-2, Septembre 2011, 262p. Wiley ISTE Ltd, Networks and Telecommunications Series N°ISBN 978-1-84821-374-6, Janvier 2013, 281p. cloud computing Wiley ISTE Ltd, Smart SOA platforms in cloud computing architectures, Networks and Telecommunications Series, N°ISBN 9781848215849, Juin 2014, 224p. Title Published in: Du langage C au C++ Presses Universitaires du Mirail, N°ISBN 978-2-81070054-7, Juillet 2009 Published in: Proceedings of the 17th Euromicro Conference IEEE Computer Society, N°ISBN 978-0-7695-3544on Parallel, Distributed and Network-based 9, Weimar (Allemagne), 18-20 Février 2009 Processing Traffic Monitoring and Anaysis Springer, N°ISBN 978-3-642-01644-8, LNCS 5537, Mai 2009, 134p. New learning support systems Emerald, N°ISBN 1741-5659, Vol.6, N°1, 2009, Interactive Technology and Smart Education, 75p. Petri Nets. fundamental Models, Verification and Wiley ISTE Ltd, N°ISBN 978-1-84821-079-0, Juillet Applications 2009, 624p. Cloud computing Springer, Lecture Notes of the Institute for Computer Sciences, Social-informatics and Telecommunications Engineering, N°ISBN 978-3642-12635-2, Octobre 2010, 271p. 10ème Conférence Internationale sur les IEEE Computer Society, N°ISBN 978-1-4244-7068NOvelles TEchnologies de la REpartition 6, Tozeur (Tunisie), 31 Mai - 2 Juin 2010 (NOTERE 2010) R.CARBOU, M.DIAZ, Digital Home Networking Wiley ISTE Ltd, N°ISBN 9781848213210, Décembre E.EXPOSITO, R.ROMAN 2011, 396p. S.REDDY, K.DRIRA 21st IEEE International Wetice Conference 21st IEEE International Wetice Conference, Juin 2012 K.DRIRA European Conference on Software Architecture Springer, Lecture Notes in Computer Science 7957 N°ISBN 978-3-642-39030-2, Juillet 2013, Preface, 352p. R.BEN HALIMA, H.HADJ KACEM, Second Track on Provisioning and Management IEEE Computer Society, N°ISBN 978-1-4799-0405W.LOUATI of Service Oriented Architecture and Cloud 1, 17 Juin 2013, 2p. Computing (PROMASC'2013) F.OQUENDO, P.AVGERIOU, Software Engineering for Systems-of-Systems: ACM, Juillet 2013, 52p. C.CUESTA, J.C.MALDONADO, Proceedings of the ACM Sigsoft/Sigplan International Workshop SESoS'2013 E.NAKAGAWA, K.DRIRA, A.ZISMAN [OEN] Ref Books (editor) -‐national-‐ Authors OEN10889 K.DRIRA [OPI] Ref Books (contribution) -‐international-‐ Authors Title OPI06216 S.KHEMAKHEM, M.JMAIEL, K.DRIRA Title Published in: 4ème Conférence sur les Architectures Logicielles (CAL 2010) 'Cépaduès, Revue des Nouvelles technologies de l'Information, N°ISBN 9782854289305, Pau (France), 9-12 Mars 2010, 180p. Published in: Description, classification approaches for software comparative study and discovery Modern Software Engineering Concepts and components: a Practices: Advanced Approaches, N°ISBN 9781609602154, Décembre 2010, Chapter 8, pp.196-219 Scientific Production — 39 OPI08005 OPI08635 L.CONSOLE, C.ARDAGNA, L.ARDISSONO, S.BOCCONI, C.CAPPIELLO, M.O.CORDIER, K.DRIRA, J.EDER, G.FRIEDRICH, M.G.FUGINI, R.FURNARI, A.GOY, K.GUENNOUN, A.HESS, V.IVANCHENKO, X.LE GUILLOU, M.LEHMANN, J.MANGLER, Y.LI, T.MELLITI, S.MODAFFERI, E.MUSSI, Y.PENCOLE, G.PETRONE, B.PERNICI, C.PICARDI, X.PUCEL, S.ROBIN, L.ROZE, M.SEGNAN, A.TAHAMTAN, A.TEN TEJIE, D.THESEIDER DUPRE, L.TRAVEMASSUYES, F.VAN HARMELEN, T.VIDAL, A.SUBIAS M.MILADI, M.LAHAMI, M.JMAIEL, K.DRIRA OPI09204 T.CHAARI, M.A.ZOUARI, F.LAFOREST OPI09677 J.LACOUTURE, I.BOUASSIDA, K.DRIRA, C.CHASSOT, M.SIBILLA, T.DESPRATS, C.TESSIER, J.P.ARCANGELI, V.NOEL , F.GARIJO M.DIAZ OPI09802 OPI09803 OPI09804 OPI09806 OPI09807 OPI09809 OPI09827 OPI10045 OPI10420 OPI10815 OPI11170 OPI11760 OPI11761 OPI12012 OPI12122 WS-DIAMOND web services - DIAgnosability, At Your Service. Service-Oriented Computing from MONitoring and Diagnosis an EU Perspective, N°ISBN 978-0-262-04253-6, Juin 2009, pp.213-240 A unified deployment and management model of Non-Functional Properties in Service Oriented dynamic and distributed software architectures Architecture: Requirements, Models and Methods, N°ISBN 9781605667942, Mars 2011, Chapter 10, pp.217-244 Ontology based context-aware adaptation Context-aware Mobile and Ubiquitous Computing for approach Enhanced Usability: Adaptive Technologies and Applications, N°ISBN 978-1-60566-290-9, Avril 2009, pp.26-58 Mission-aware adaptive communication for Handbook of Research on Mobility and Computing: collaborative mobile entities Evolving Technologies and Ubiquitous Impacts, N°ISBN 978-1-60960-042-8, Mars 2011, pp.10561076 Introduction Petri Nets. fundamental Models, Verification and Applications, ISTE & Wiley, N°978-1-84821-079-0, Juillet 2009 M.DIAZ Chapter 1 : Basic Semantics Petri Nets. fundamental Models, Verification and Applications, ISTE & Wiley, N°978-1-84821-079-0, Juillet 2009, pp.3-25 M.DIAZ Application of Petri Nets to Communication Petri Nets. fundamental Models, Verification and Protocols Applications, ISTE & Wiley, N°978-1-84821-079-0, Juillet 2009, Chapter 2 , pp.27-39 B.BERTHOMIEU, M.BOYER, Time Petri Nets Petri Nets. fundamental Models, Verification and M.DIAZ Applications, Wiley, N°ISBN 978-1-84821-079-0, Juillet 2009, Chapter 5, pp.123-161 M.DIAZ, P.SENAC Temporal Composition and time stream Petri Petri Nets. fundamental Models, Verification and Nets Applications, Wiley, N°ISBN 978-1-84821-079-0, Juillet 2009, Chapter 6, pp.163-183 P.SENAC, M.DIAZ Hierarchical time stream petri nets Petri Nets. fundamental Models, Verification and Applications, ISTE & Wiley, N°ISBN 978-1-84821079-0, Janvier 2010, Chapter 15, pp.461-479 E.ALTMAN, K.E.AVRACHENKOV, Analysis of a Red Queue : A Singular Traffic engineering, performance evaluation studies B.PRABHU Perturbation Approach and tools for heterogeneous networks, N°978-8792329-16-5, 4 Février 2009, pp.371-397 C.AUBRUN, B.BRAHIMI, QoC-aware dynamic Network QoS Adaptation Co-Design Approaches to Dependable Networked J.P.GEORGES, G.JUANOLE, Control Systems, ISTE/ John Wiley, G.MOUNEY, X.NGUYEN, N°9781848211766, Février 2010, pp.105-147 E.RONDEAU B.JACQUEMIN , P.BERTHOU, About QoS in DVB-S2/RCS systems Satellite Communications, Sciyo, N°ISBN 978-953T.GAYRAUD, L.BERTAUX 307-135-0, Septembre 2010, Chapter 1, 33p. N.LARRIEU, P.OWEZARSKI Metrology of internet networks Digital Cognitive Technologies, N°ISBN 978-1-84821073-8, 2010, Chapter 7, pp.131-146 I.BOUASSIDA, R.BEN HALIMA, A graph grammar-based dynamic Business System Management and Engineering, K.DRIRA, C.CHASSOT, M.JMAIEL reconfiguration for virtualized web service-based Ardagna, C.A.; Damiani, E.; Maciaszek, L.A.; composite architectures Missikoff, M.; Parkin, M. (Eds.), Lecture Notes in Computer Science, Vol. 7350, Springer, 197p., N°ISBN 978-3-642-32438-3, Septembre 2012, pp.181-196 R.BARS, J.GOMEZ MONTALVO, Standards Digital Home Networking, N°ISBN 978-1-84821-321M.MAHDI, C.ALCARAZ, 0, Septembre 2011, Chapter 4, pp.59-96 J.GOMEZ MONTALVO, Quality of experience and quality of service Digital Home Networking, Septembre 2011, Chapter E.EXPOSITO 7, pp.203-258 A.AKL, T.GAYRAUD, P.BERTHOU Key factors in designing in-flight entertainment Recent Advances in Aircraft Technology, InTech, systems N°ISBN 978-953-51-0150-5, Février 2012, Chapter 15, pp.331-360 E.MEZGHANI, R.BEN HALIMA, DRAAS: Dynamically Reconfigurable Web Services Foundations, Springer, N°ISBN 978-1K.DRIRA Architecture for Autonomic Services 4614-7518-7, 2014, A. Bouguettaya and Q.Z. Sheng and F. Daniel (Eds), Janvier 2014, Part II, pp.483-505 Scientific Production — 40 OPI14012 N.GUERMOUCHE, C.GODART Composition of web services : from qualitative to Web Services Foundations, A. Bouguettaya and quantitative timed properties Q.Z. Sheng and F. Daniel (Eds), Springer, N°ISBN 978-1-4614-7517-0, Janvier 2014, Part II, pp.399422 Software architecture and tools: distributed and Software Architecture 1, M. Chabane Oussalah coordinated dynamic reconfiguration (Eds), Wiley ISTE Ltd, N°ISBN 9781848216006,2 management 56p., Avril 2014, Chapter 4, pp.121-170 OPI14115 M.T.SEGARRA, K.DRIRA, M.ZOUARI [OPN] Ref Books (contribution) -‐national-‐ Authors Title Published in: OPN08471 H.KANSO, A.ELHORE, C.SOULE- Extraction de l'information intentionnelle à Systèmes intelligents: théories et applications, M. travers le système RICAD basée sur une Bellafhik, M. Ramdani, K. Zreik, N°ISBN 978-2DUPUY, S.TAZI ontologie 909285-55-3, 2009, pp.85-103 OPN10825 R.BRIAND, G.HAYE, Smart networked objects & internet of things S.BEAUSSART, C.PERSON, M.BAFLEUR, M.DIAZ, J.M.DILHAC, D.DRAGOMIRESCU, M.O.KILLIJIAN, P.D.BERGER, C.JANNETEAU, J.CAELEN, T.TARIS, C.MULLER, D.GAITI Architectures logicielles et outils : gestion M.ZOUARI, M.T.SEGARRA, distribuée et coordonnée de la reconfiguration K.DRIRA dynamique Architectures logicielles : Principes, techniques et outils, Hermes - Lavoisier, N°ISBN 9782746245174, Février 2014, Chapitre 8, 44p. [RII] Ref Guest editor -‐international-‐ Authors Title Published in: RII13076 K.DRIRA, A.HADJ KACEM, M.JMAIEL K.DRIRA, R.BEN HALIMA Concurrency and Computation: Practice and Experience, Septembre 2013, Vol.25, pp.159-160 Knowledge sharing, service orchestration and International Journal of Web Portals -- ACS National management at runtime Meeting 2013 du 08 septembre au 12 septembre 2013, Indianapolis (USA), Janvier 2013, Vol.5, 3p. RII13207 K.DRIRA, M.A.M.CAPRETZ, I.BOUASSIDA RII13625 G.JUANOLE Collaborative activities support techniques: from business process implementation to dynamic deployment management. Editorial Taking up the challenges of a co-design approach for networked control systems in a wireless context [RVSI] Ref Scientific Journals -‐international-‐ Authors Title RVSI06539 V.BOYER, M.ELKIHEL, D.EL BAZ Heuristics for the 0-1 multidimensional knapsack problem D.EL BAZ, M.ELKIHEL, L.GELY, Improved time and space complexity for G.PLATEAU Kianfar's inequality rotation algorithm M.GINESTE, N.VAN WAMBEKE, A cross-layer approach to enhance QoS for E.EXPOSITO, C.CHASSOT, multimedia aplications over satellite L.DAIRAINE L.JANOWSKI, P.OWEZARSKI Assessing the accuracy of using aggregated traffic traces in network enginnering E.ALTMAN, U.AYESTA, Load balancing in processor sharing systems B.PRABHU E.EXPOSITO, M.GINESTE, Building self-optimized communication systems L.DAIRAINE, C.CHASSOT based on applicative cross-layer information European Journal of Operational Research, Vol.199, N°3, pp.658-664, Décembre 2009 European Journal of Industrial Engineering, Vol.3, N°1, pp.90-98, Janvier 2009 Wireless Personal Communications, Vol.50, N°3, pp.305-328, Août 2009 V.BOYER, D.EL BAZ, M.ELKIHEL An exact cooperative method for solving the 0-1 multidimensional knapsack problem I.A.LOULOU, M.JMAIEL, K.DRIRA, P/S-CoM: correct by design publish/subscribe A.HADJ KACEM architectural styles with safe reconfiguration V.BAUDIN, T.VILLEMUR Student centered distance learning experiments over a communication and collaboration platform E.MINGOZZI, G.STEA, EuQoS: End-to-end quality of service over M.A.CALLEJO RODRIGUEZ, heterogeneous networks J.ENRIQUEZ-GABEIRAS, W.BURAKOWSKI, A.BEBEN, J.SLIWINSKI, H.TARASIUK, O.DUGEON, M.DIAZ, L.BARESSE N.VAN WAMBEKE, F.ARMANDO, Models and architectures for autonomic A.ABDELKEFI, C.CHASSOT, networking K.GUENNOUN, K.DRIRA European Journal of Industrial Engineering, Vol.4, N°4, pp.434-449, Septembre 2010 Journal of Systems and Software, Vol.83, N°3, pp.412 -428, 30 Septembre 2009 Interactive Technology and Smart Education, Vol.6, N°1, pp.60-75, 2009 OPN14020 RII13197 RVSI06834 RVSI07466 RVSI08018 RVSI08295 RVSI08351 RVSI08392 RVSI08539 RVSI08611 RVSI09005 RVSI09032 White Paper de l'association des Instituts Carnot, Décembre 2010, 45p. Editorial International Journal of Collaborative Enterprise, Septembre 2013, Vol.3, pp.89-92 -- International Workshop on Real Time Networks ( RNT ) 2013 du 09 juillet au 09 juillet 2013, Paris (France), Juillet 2013, 29p. Published in: Scientific Production — 41 Telecommunication Systems Journal, Vol.43, N°3, pp.223-236, Avril 2010 Telecommunication Systems, Vol.47, N°1-2, pp.3548, Juin 2011 Computer Standards & Interfaces, Vol.31, N°2, pp.354-361, Février 2009 Computer Communications, Vol.32, N°12, pp.13551370, Juillet 2009 International Journal of Business Data Communications and Networking, Vol.5, N°2, pp.3551, Avril 2009 RVSI09033 RVSI09046 RVSI09055 RVSI09057 N.VAN WAMBEKE, S.F.RACARU, Dynamic end-to-end QoS provisioning and C.CHASSOT, M.DIAZ service composition over Heterogeneous networks N.HADJ KACEM, A.HADJ KACEM, A formal model of a multi-step coordination K.DRIRA protocol for self-adaptive software using coloured petri nets Y.ARIBA, Y.LABIT, Congestion control stability of a single router F.GOUAISBAUT with an active queue management Y.ARIBA, F.GOUAISBAUT, Feedback control for router management and Y.LABIT TCP/IP networks stability RVSI09342 S.AALTO, U.AYESTA RVSI09343 U.AYESTA, M.MANDJES RVSI09443 RVSI09509 RVSI09534 RVSI09601 RVSI09610 RVSI09617 RVSI09655 RVSI09689 RVSI09718 RVSI09744 RVSI09755 RVSI09759 RVSI09817 RVSI09822 RVSI09887 RVSI10009 RVSI10035 RVSI10041 RVSI10051 RVSI10056 RVSI10137 RVSI10230 RVSI10232 RVSI10290 SRPT applied to bandwidth-sharing networks International Journal on Advances in Networks and Services, Vol.2, N°1, pp.76-87, Mai 2009 International Journal of Computing and Information Sciences , Vol.7, N°1, pp.25-39, Janvier 2009 International Journal on Advances in Internet Technologies, Vol.2, N°1, pp.58-67, Juin 2009 IEEE Transactions on Network and Service Management, Vol.6, N°4, pp.255-266, Septembre 2009 Annals of Operations Research, Vol.170, N°1, pp.4158, Septembre 2009 Annals of Operations Research, Vol.170, N°1, pp.4158, Septembre 2009 International Journal of Autonomic Computing (IJAC), Vol.1, N°3, pp.263-279, Mai 2010 International Journal of Autonomic Computing, Vol.1, N°3, pp.226-245, Mars 2010 Bnadwidth-sharing networks under a diffusion scaling G.SANCHO, T.VILLEMUR, S.TAZI An ontology-driven approach for collaborative ubiquitous systems I.BOUASSIDA, K.GUENNOUN, A rule-driven approach for architectural self K.DRIRA, C.CHASSOT, M.JMAIEL adaptation in collaborative activities using graph grammars K.FAKHFAKH, S.TAZI, K.DRIRA, Semantic enabled framework for SLA monitoring International Journal on Advances in Software, Vol.2, T.CHAARI, M.JMAIEL N°1, pp.36-46, Janvier 2009 I.M.VERLOOP, U.AYESTA, Heavy-traffic analysis of the M/PH/1 Operations Research, Vol.59, N°3, pp.648-660, Mai R.NUNEZ-QUEIJA discriminatory processor sharing queue with 2011 phase-dependant weights I.M.VERLOOP, U.AYESTA, Monotonicity propertics for multi-class queueing Discrete Event Dynamic Systems, Vol.20, N°4, S.C.BORST systems pp.473-509, Décembre 2010 S.AALTO, U.AYESTA, R.RIGHTER On the Gittins index in the M/G/1 queue Queueing Systems, Vol.63, N°1-4, pp.437-458, Janvier 2009 Y.ARIBA, F.GOUAISBAUT, Traffic monitoring in TCP/AQM networks through IET Control Theory & Applications, Vol.6, N°4, S.RAHME, Y.LABIT a time delay observer pp.506-517, Mars 2012 M.EL MASRI, G.JUANOLE, Modeling of IEEE 802.11e EDCA: presentation International Journal of Computer Science and S.ABDELLATIF and application in an admission control Information Security, pp.217-228, Juillet 2009 algorithm K.E.AVRACHENKOV, U.AYESTA, Convergence of trajectories and optimal buffer Performance Evaluation, Vol.67, N°7, pp.501-527, A.PIUNOVSKIY sizing for AIMD congestion control Juillet 2010 V.BOYER, D.EL BAZ, M.ELKIHEL A dynamic programming method with lists for Computers and Industrial Engineering, Vol.61, N°2, the knapsack sharing problem pp.274-278, Septembre 2011 P.DE SAQUI SANNES, Formal verification of secure group Innovations in Systems and Software Engineering, T.VILLEMUR, B.FONTAN, S.MOTA communication protocols modelled in UML Vol.6, N°1-2, pp.125-133, Mars 2010 GONZALEZ , M.S.BOUASSIDA, N.CHRIDI, I.CHRISMENT, L. VIGNERON I.BOUASSIDA, K.DRIRA, An architectural refinement model for group- International Transactions on Systems Science and C.CHASSOT, M.JMAIEL wide communications with priorities applied to Applications (ITSSA), Vol.6, N°4, pp.339-349, the rosace project senario Novembre 2010 R.SERRAL-GRACIA, An efficient method for service level agreement Computer Networks: The International Journal of M.YANNUZZI, Y.LABIT, assessment Computer and Telecommunications Networking, Vol.54, N°17, pp.3144-3158, Décembre 2010 P.OWEZARSKI, X.MASIP-BRUIN E.ALTMAN, K.E.AVRACHENKOV, Dynamic Discrete Power Control in Cellular IEEE Transactions on Automatic Control, Vol.54, I.MENACHE, G.MILLER, Networks N°10, pp. 2328-2340, Octobre 2009 B.PRABHU, A.SHWARTZ Y.ARIBA, F.GOUAISBAUT, Feedback control for router management and IEEE Transactions on Network and Service Y.LABIT TCP/IP network stability Management, Vol.6, N°4, Décembre 2009 V.BOYER, D.EL BAZ, M.ELKIHEL Solving knapsack problems on GPU Computers & Operations Research, Vol.39, N°1, pp.42-47, Janvier 2012, DOI: http://dx.doi.org/10.1016/jcor.2011.03.014 M.LALAMI, M.ELKIHEL, D.EL BAZ, A procedure-based heuristics for the 0-1 multiple International Journal of Mathematics in Operational V.BOYER knapsack problems Research, Vol.4, N°3, pp.214-224, Mars 2012 Y.ZHANG, A.PIUNOVSKIY , Convergence of trajectories and optimal buffer Computer Communications, Vol.33, N°2, pp.149U.AYESTA, K.E.AVRACHENKOV sizing for MIMD congestion control 159, 20 Août 2009 U.AYESTA, O.BRUN, B.PRABHU Price of anarchy in non-cooperative load Performance Evaluation, Vol.68, N°12, pp.1312balancing games 1332, Décembre 2011 E.EXPOSITO, C.CHASSOT, Open service oriented architecture for transport International Journal of Internet Protocol Technology, M.LAMOLLE, J.GOMEZ protocols Vol.5, N°4, pp.190-201, Novembre 2010 MONTALVO, N.VAN WAMBEKE E.CONCHON, T.PERENNOU, W-NINE: A Two-stage Emulation Platform for EURASIP Journal on Wireless Communications and J.GARCIA, M.DIAZ Mobile and Wireless Systems Networking, 20p., 15 Février 2010, doi:10.1155/2010/149075 U.ASHRAF, S.ABDELLATIF, Route selection in IEEE 802.11 wireless mesh Telecommunication Systems, Vol.52, N°4, pp.1777G.JUANOLE networks 1795, Avril 2013, doi:10.1007/s11235-011-9493-5 U.ASHRAF, S.ABDELLATIF, Route maintenance in IEEE 802.11 wireless Computer Communications, Vol.34, N°13, pp.1604G.JUANOLE mesh networks 1621, Août 2011 S.KHEMAKHEM, K.DRIRA, An integration ontology for components International Journal of web Portals, Vol.2, N°3, M.JMAIEL composition pp.35-42, Septembre 2010 Scientific Production — 42 RVSI10327 RVSI10342 RVSI10637 RVSI10640 RVSI10699 RVSI10736 RVSI10741 RVSI10742 RVSI10747 RVSI10929 RVSI10956 RVSI10967 RVSI10985 RVSI11077 RVSI11137 RVSI11161 RVSI11450 RVSI11747 RVSI11778 RVSI11779 RVSI11881 RVSI12039 RVSI12040 RVSI12053 RVSI12077 RVSI12152 RVSI12184 RVSI12317 RVSI12384 RVSI12432 R.SHARROCK, T.MONTEIL, Non-intrusive autonomic approach with selfP.STOLF, D.HAGIMONT, L.BROTO management policies applied to legacy infrastructures for performance improvements E.EXPOSITO, M.LAMOLLE, Introducing an ontology driven architecture for J.GOMEZ MONTALVO distributed multimedia systems engineering J.R.PEREZ CRUZ, S.EPOMARES Multi-session key management scheme for HERNANDEZ, G.RODRIGUEZ multimedia group communication GOMEZ, K.DRIRA, M.DIAZ D.EL BAZ, V.BOYER, Distributed part differentiation in a smart surface J.BOURGEOIS, E.DEDU, K.BOUTOUSTOUS F.KHALIL, E. B.TCHIKAYA, Grid-based SCT approach for the global R.SHARROCK, T.MONTEIL, electromagnetic simulation and design of finitesize and thick dichroïc plate F.COCCETTI, H.AUBERT P.TOURNOUX, E.LOCHIN, On-the-fly coding for time-constrained J.LACAN, A.BOUABDALLAH, applications V.ROCA P.TOURNOUX, J.LEGUAY, Density-aware routing in highly dynamic DTNs: F.BENBADIS, V.CONAN, M.DIAS the rollernet case DE AMORIM, J.WHITBECK R.BEN HALIMA, E.FKI, K.DRIRA, A large-scale monitoring and measurement M.JMAIEL campaign for web services-based applications Concurrency Computation: Practice and Experience, Vol.22, N°10, pp.1207-1222, Juillet 2010 T.PERENNOU, A.BRUNSTROM, T.HALL, J.GARCIA, P.HURTIG E.LOCHIN, G.JOURJON, S.ARDON, P.SENAC Emulating opportunistic networks with KauNet triggers Promoting the use of reliable rate-based transport protocols: the Chameleon protocol EURASIP Journal on Wireless Communications and Networking, Vol.2011, 347107p., Janvier 2011 International Journal of Internet Protocol Technology (IJIPT), Vol.5, N°4, pp.175-189, Octobre 2010 K.FAKHFAKH, T.CHAARI, S.TAZI, ODACE SLA: Ontology Driven Approach for M.JMAIEL, K.DRIRA Automatic Establishment of Service Level Agreements V.BOYER, D.EL BAZ, M.ELKIHEL Solution of multidimensional knapsack problems via cooperation of dynamic programming and branch and bound M.ALLMAN, K.E.AVRACHENKOV, Early retransmit for TCP and stream control U.AYESTA, J.BLANTON, transmission protocol (SCTP) A.AKL, T.GAYRAUD, P.BERTHOU A new wireless architecture for IFE systems inside aircraft cabin O.NABUCO, M.G.FUGINI, M.DA Foreword Web2Touch: living experience through SILVEIRA, K.DRIRA the web E.LOCHIN, B.TALAVERA Managing internet routers congested links with a Kohonen-RED queue P.CASAS HERNANDEZ, J.MAZEL, Knowledge-independent traffic monitoring: P.OWEZARSKI unsupervised detection of network attacks International Journal of Systems and ServiceOriented Engineering (IJSSOE), Vol.1, N°3, pp.1-20, Septembre 2010 European Journal of Industrial Engineering, Vol.4, N°4, pp.434-449, Avril 2010 D.KNORRECK, L.APVRILLE, P.DE TEPE: a SysML language for time-constrained SAQUI SANNES property modeling and formal verification R.DIANA, E.LOCHIN ECN verbose mode: a statistical method for network path congestion estimation L.APVRILLE, P.DE SAQUI Un assistant méthodologique UML. Modélisation SANNES et vérification formelle de protocoles guidées par des patrons N.GUERMOUCHE, C.GODART Characterizing compatibility of timed choreography C.ALBEA SANCHEZ, Estimating the attraction domain for the boost F.GORDILLO inverter E.LOCHIN, T.PERENNOU, When should I use network emulation? L.DAIRAINE A.AL SHEIKH, O.BRUN, Optimal design of virtual links in AFDX networks M.CHERAMY, P.E.HLADIK C.ALBEA SANCHEZ, Robust saturated control for low-power circuits F.GORDILLO, C.CANUDAS DE A.AL SHEIKH, O.BRUN, Strictly periodic scheduling in IMA-based P.E.HLADIK, B.PRABHU architectures A.KAMOUN, S.TAZI, K.DRIRA FADYRCOS, a semantic interoperability framework for collaborative model-based dynamic reconfiguration of networked services G.GHARBI, M.BEN ALAYA, AODA: an autonomic and ontology-driven C.DIOP, E.EXPOSITO architecture for service-oriented and eventdriven systems U.AYESTA, O.BOXMA, Sojourn times in a processor sharing queue with I.M.VERLOOP multiple vacations G.SARWAR, R.BORELI, On the quality of VoIP with DCCP for satellite E.LOCHIN communications Scientific Production — 43 International Journal of Adaptive, Resilient and Autonomic Systems, Vol.2, N°1, 19p., Janvier 2011 International Journal of Web Applications, Vol.1, N°4, pp.228-240, Décembre 2009 Journal of Internet Technology, Vol.13, N°1, pp.6778, Janvier 2012 Mechatronics, Vol.22, N°5, pp.522-530, Août 2012 Journal of Applied Computational Electromagnetics Society, Vol.25, N°11, 10p., Novembre 2010 IEEE Transactions on Multimedia, Vol.13, N°4, pp.797-812, Août 2011 IEEE Transactions on Mobile Computing, Vol.10, N°12, pp.1755-1768, Décembre 2011 Internet Engineering Task Force, N°5827, 15p., Avril 2010 International Journal on Advances in Networks and Services, Vol.4, N°1-2, pp.159-175, Janvier 2011 International Journal of Web Portals, Vol.3, N°1, 2p., Janvier 2011 Engineering Applications of Artificial Intelligence, Vol.24, N°1, pp.77-86, Février 2011 IEEE Network Magazine, Vol.26, N°1, pp.13-21, Janvier 2012, Special issue on "Network Traffic Monitoring and Analysis'' ACM SIGSOFT Software Engineering Notes, Vol.36, N°1, pp.1-8, Janvier 2011 Computer Networks, Vol.55, N°10, pp.2380-2391, Juillet 2011 Technique et Science Informatiques, Vol.30, N°3, pp.309-337, Mars 2011 International Journal on Web Services Research (JWSR), Vol.8, N°2, pp.1-28, Avril 2011 Asian Journal of Control, Vol.15, N°1, pp.169-176, Janvier 2013 Annals of Telecommunications, Vol.67, N°5-6, pp.247-255, Juin 2012 Real-Time Systems, Vol.49, N°3, Mars 2013 IEEE Transactions on Control Systems Technology, Vol.21, N°2, pp.530-537, Mars 2013 Real Time Systems, Vol.48, N°4, pp.359-386, Juillet 2012 Computers in Industry, Vol.63, N°8, pp.756-765, Octobre 2012 International Journal of Collaborative Enterprise, Vol.3, N°2-3, pp.167-188, Juin 2013 Queuing Systems, Vol.71, N°1-2, pp.53-78, Février 2012 International Journal of Satellite Communications and Networking, Vol.30, N°4, pp.163-180, Juillet 2012 RVSI12494 RVSI12502 C.DIOP, G.DUGUE, C.CHASSOT, E.EXPOSITO, J.GOMEZ MONTALVO S.RAHME, Y.LABIT, F.GOUAISBAUT, T.FLOQUET QoS-aware and autonomic-oriented multi-path International Journal of Pervasive Computing and TCP extensions for mobile and multimedia Communications, Vol.8, N°4, pp.306-328, applications Septembre 2012 Sliding modes for anomaly observation in TCP IEEE Transactions on Control Systems Technology, networks: from theory to practice Vol.21, N°3, pp.1031-1038, Mai 2013, DOI 10.1109/TCST.2012.2198648 An IP-ERN architecture to enable hybrid Computer Networks, Vol.56, N°11, pp.2700-2713 E2E/ERN protocol and application to satellite networking Interference-aware bandwidth reservation in Computer Communications, Vol.35, N°17, pp.2138multi-radio multi-channel mesh networks 2149 A scalable communication-induced IEICE Transactions on Information and Systems, checkpointing algorithm for distributed systems Vol.E96-D, N°4, pp.886-896, Avril 2013 RVSI12567 D.LOPEZ PACHECO, T.T.THAI, E.LOCHIN, F.ARNAL RVSI12568 U.ASHRAF, S.ABDELLATIF, G.JUANOLE A.CALIXTO SIMON, S.EPOMARES HERNANDEZ, J.R.PEREZ CRUZ, P.GOMEZ-GIL, K.DRIRA P.CASAS HERNANDEZ, J.MAZEL, Unsupervised network intrusion detection Computer Communications, Vol.35, N°7, pp.772P.OWEZARSKI systems: detecting the unknown without 783, Avril 2012 knowledge T.GUEROUT, T.MONTEIL, G.DA Energy-aware simulation with DVFS Simulation Modelling Practice and Theory, Vol.39, COSTA, R.NEVES CALHEIROS, pp.76-91, Décembre 2013 R.BUYYA, M.ALEXANDRU N.VAN WAMBEKE, E.EXPOSITO, ATP: A micro-protocol approach to autonomic IEEE Transactions on Computers, Vol.62, N°11, C.CHASSOT, M.DIAZ communication pp.2131-2140, Novembre 2013 S.REBAI, N.GUERMOUCHE, Towards error-handling-aware choreography to International Journal of Collaborative Enterprise, H.HADJ KACEM, A.HADJ KACEM archestration transformation approach Vol.3, N°2-3, pp.151-167, Juin 2013 E.LOPEZ DOMINGUEZ, An efficient two-tier causal protocol for mobile Plos One, Vol.8, N°4, e59904p., Avril 2013 S.EPOMARES HERNANDEZ, distributed systems G.RODRIGUEZ GOMEZ, M.AUXILIO MEDINA T.MONTEIL Coupling profile and historical methods to Parallel & Cloud Computing, Vol.2, N°3, pp.81-89, predict execution time of parallel applications Juillet 2013 M.LARRANAGA, U.AYESTA, Dynamic fluid-based scheduling in a multi-class Performance Evaluation, Vol.70, N°10, pp.841-858, I.M.VERLOOP abandonment queue Octobre 2013 U.AYESTA, M.ERAUSQUIN, Scheduling in a random environment: stability IEEE/ACM Transactions on Networking (TON), M.T.S.JONCKHEERE, and asymptotic optimality Vol.21, N°1, pp.258-272, Février 2013 I.M.VERLOOP K.E.AVRACHENKOV, U.AYESTA, Congestion control of TCP flows in Internet Computer Networks, Vol.57, N°17, pp.3463-3478, J.DONCEL, P.JACKO routers by means of index policy Décembre 2013 I.TABOADA, F.LIBERAL, QoE–aware optimization of multimedia flow Computer Communications, Vol.36, N°15-16, J.O.FAJARDO, U.AYESTA scheduling pp.1629-1638, Septembre 2013 P.OWEZARSKI, J.LOBO, D.MEDHI Network and Service Management for Cloud Journal of Network and Systems Management, Computing and Data Centers: A Report on Vol.21, N°4, pp.707-712, Décembre 2013 CNSM 2012 A.CHAABANE, C.DIOP, Towards a semantic-driven and scalable International Journal of Internet Protocol Technology, W.LOUATI, M.JMAIEL, J.GOMEZ publish/subscribe framework Vol.7, N°3, pp.165-175, Novembre 2013 MONTALVO, E.EXPOSITO J.VALLET, O.BRUN Online OSPF weights optimization in IP Computer Networks, Vol.60, pp.1-12, Février 2014 networks D.FIEMS, B.PRABHU, K.DE Analytic approximations of queues with lightly- Annals of Operations Research, Vol.202, N°1, TURCK and heavily-correlated autoregressive service pp.103-119, Janvier 2013 times A.HAKIRI, P.BERTHOU, Supporting End-to-end scalability and real-time Journal of Systems and Software, Vol.86, N°10, A.GOKHALEC, D.C.SCHMIDT, event dissemination in the OMG data pp.2574-2593, Octobre 2013 distribution service over wide area networks T.GAYRAUD N.GUERMOUCHE, C.GODART Composition of web services based on timed International Journal of Next-Generation Computing mediation (IJNGC), Vol.5, N°1, 26p., Mars 2014 C.DIOP, E.EXPOSITO, M.ZOUARI Multilevel and coordinated self-management in Journal of Universal Computer Science, Vol.20, N°3, autonomic systems based on service bus pp.431-460, Mars 2014 M.OULMAHDI, C.CHASSOT, Energy saving mechanisms on high Journal of High Speed Networks, Vol.20, N°2, E.EXPOSITO communication layers pp.113-129, Mai 2014 J.LATVAKOSKI, M.BEN ALAYA, Towards horizontal architecture for autonomic Future Internet, Vol.6, N°2, pp.261-301, Mai 2014 H.GANEM, B.JUBEH, A.IIVARI, M2M service networks J.LEGUAY, J.MARTIN BOSH, N.GRANQVIST RVSI12600 RVSI12638 RVSI12703 RVSI12744 RVSI12832 RVSI13062 RVSI13087 RVSI13287 RVSI13354 RVSI13402 RVSI13422 RVSI13444 RVSI13491 RVSI13596 RVSI13642 RVSI13784 RVSI14190 RVSI14241 RVSI14275 RVSI14297 [RVSN] Ref Scientific Journals -‐national-‐ Authors Title Published in: RVSN08758 E.LOCHIN, P.ANELLI TCP throughput guarantee in the DiffServ Assured Forwarding service: what about the results? RVSN10368 K.FAKHFAKH, T.CHAARI, S.TAZI, Modélisation et alignement sémantique des M.JMAIEL, I.GUIDARA intentions des clients avec les offres des fournisseurs RVSN10554 M.LAMOLLE, J.GOMEZ MODA: une architecture multimédia dirigée par MONTALVO, E.EXPOSITO les ontologies pour des systèmes multimédia en réseau Scientific Production — 44 Annales des Télécommunications, Vol.64, N°3-4, pp.215-224, Avril 2009 Revue des Nouvelles Technologies de l'Information (RNTI L-5), N°930, pp.87-89, Juin 2010 Revue des Nouvelles Technologies de l'Information (RNTI L-5), N°930, pp.101-112, Septembre 2010 RVSN11817 P.DE SAQUI SANNES, L.APVRILLE AVATAR/TTool : un environnement en mode Génie Logiciel, Vol.58, N°98, pp.22-26, Septembre libre pour SysML temps réel 2011 [RVV] Ref Popular Journals Authors Title RVV 12701 T.MONTEIL, M.BEN ALAYA, K.DRIRA Gestion intelligente de l’énergie communication directe entre machines Published in: Scientific Production — 45 via la Le petit ENERGIE illustré, 1p., Décembre 2012, Le petit ENERGIE illustré , Une collaboration La Dépêche du Midi / CNRS Rob theme: Robotics Papers in collaboration with other themes Theme nb papers ref MNBT MAI14052 1 NII MAI09255, MAI09843, MAI09883, MAI10161, MAI10886, MAI11263, 12 MAI11657, MAI12420, MAI12714, MAI13639, OPI11032, RVSI10545 IC GE DO 5 1 8 MAI12100, MAI13328, MAN11355, MAN12254, MSN12402 MAI11452 MAI13328, MAI13381, MAN09774, MAN11355, MAN12254, MAN14196, MSN12402, OPI09285 HOPES 8 MAI09022, MAI09785, MAI10161, MAI10298, MAI10770, MAN11191, RVSI08421, RVSI10545 Paper summary for Rob theme AFFI Poster -‐international-‐ Invited paper (conference) -‐international-‐ CII Invited paper (conference) -‐national-‐ CIN Conferences with published proceedings -‐international-‐ MAI Conferences with published proceedings -‐national-‐ MAN Conferences without proceedings -‐international-‐ MSI Conferences without proceedings -‐national-‐ MSN Books (author) -‐national-‐ OAN Books (contribution) -‐international-‐ OPI Books (contribution) -‐national-‐ OPN Guest editor -‐international-‐ RII Scientific Journals -‐international-‐ RVSI Scientific Journals -‐national-‐ RVSN Popular Journals RVV 3 14 3 258 33 6 9 1 10 2 1 105 2 2 Rob Theme publications [AFFI] Poster -‐international-‐ Ref Authors Published in: AFFI09959 AFFI11889 Title T.M.TUAN, P.SOUERES, M.TAIX, A computational Approach from robotics for B.GIRARD testing eye-centered vs body-centered reaching control C.HALGAND, S.CELEBRINI, Body position modulates neural activity of foveal P.SOUERES, Y.TROTTER area V1 cells Progress in Motor Control ( PMC ) 2009 du 23 juillet au 25 juillet 2009, Marseille (France), Juillet 2009, 1p. European Conference on Visual Perception ( ECVP ) 2011 du 28 août au 01 septembre 2011, Toulouse (France), Août 2011, 2p. On robot decisional abilities for human-robot Joint Action Meeting ( JAM ) 2013 du 26 juillet au joint action 29 juillet 2013, Berlin (Allemagne), Juillet 2013, 1p. AFFI13702 A.CLODIC, S.LEMAIGNAN, A.PANDEY, L.DE SILVA, M.WARNIER, R.ALAMI [CII] Ref Invited paper (conference) -‐international-‐ Authors Title CII10832 D.SIDOBRE, X.BROQUERE CII11064 S.LACROIX CII11311 R.CHATILA Of humans and robots CII11878 R.ALAMI, M.WARNIER, J. GUITTON, S.LEMAIGNAN, E.A.SISBOT When the robot considers the human... Natural and intuitive exchanges of objects between humans and robots Autonomous robots. An overview of current research and achievements Scientific Production — 47 Published in: Workshop on Actuation & Sensing in Robotics, Saarbrucken (Allemagne), 6 Octobre 2010, 24p. Jouréne d'étude: Robotisation du champ de bataille: évolution ou révolution ?, Paris (France), 8 Mars 2010, 18p. World Congress on Intelligent Control and Automation (WCICA 2011), Taipei (Taiwan), 21-25 Juin 2011, 1p. (Résumé) International Symposium of Robotics Research ( ISRR ) 2011 du 28 août au 01 septembre 2011, Flagstaff (USA), Août 2011, 16p. CII11903 R.ALAMI CII11904 R.ALAMI CII12644 M.TAIX, J.Y.FOURQUET CII12875 M.DEVY CII12881 S.LACROIX CII13780 R.ALAMI CII13813 S.LACROIX CII13814 S.LACROIX CII14319 R.LALLEMENT, L.DE SILVA, R.ALAMI CII14407 S.LACROIX [CIN] Ref Invited paper (conference) -‐national-‐ Authors Title CIN11389 S.LACROIX CIN11740 S.LACROIX CIN14406 S.LACROIX [MAI] Ref Conferences with published proceedings -‐international-‐ Authors Title MAI08057 J.CORTES, S.BARBE, M.ERARD, Encoding molecular motions in voxel maps T.SIMEON MAI08609 S.DUROLA, P.DANES, D.F.COUTINHO, M.COURDESSES P.THEODORAKOPOULOS, S.LACROIX Rational systems and matrix inequalities to the multicriteria analysis of visual servos N.LADEVEZE, J.Y.FOURQUET, B.PUEL, M.TAIX C.CASENAVE, G.MONTSENY Haptic assembly and disassembly task assistance using interactive path planning Diffusive identification of volterra models by cancellation of the nonlinear term MAI09022 C.CASENAVE, E.MONTSENY, H.CAMON Identification of electrostatically actuated MEMS models from real measurement data MAI09073 T.GERMA, M.DEVY, R.RIOUX, F.LERASLE A tuning strategy for face regognition in robotic application MAI09106 G.GELABERT, M.DEVY, F.LERASLE MAI08613 MAI09016 MAI09020 MAI09107 When the robot considers the human...(extended abstract) International Symposium of Robotics Research ( ISRR ) 2011 du 28 août au 01 septembre 2011, Flagstaff (USA), Août 2011, 2p. When the robot considers the human ROBOT ( ROBOT ) 2011 du 29 novembre au 29 novembre 2011, Seville (Espagne), Novembre 2011, 13p. Apport de la simulation interactive et de la Colloque National AIP-PRIMECA 2012 du 27 mars planification de chemins dans le domaine au 30 mars 2012, Mont-Dore (France), Mars 2012, industriel 16p. Toward Service Robots in Human Environment : Mexican International Conference on Computer From Assistance at Home to Co Workers for Science ( ENC ) 2012 du 26 mars au 30 mars industrial Applications 2012, Salamanque (Mexique), Mars 2012 Autonomous systems in cubic kilometers Séminaire du Labex MS2T 2012 du 16 novembre au 16 novembre 2012, Compiègne (France), Novembre 2012, 22p. On human models for collaborative robots International Conference on Collaboration Technologies and Systems ( CTS ) 2013 du 20 mai au 24 mai 2013, San Diego (USA), Mai 2013, 4p. Decisional issues in multi-UAV systems Lakeside Lab Research Days 2013 du 08 juillet au 12 juillet 2013, Klagenfurt (Autriche), Juillet 2013, 24p. Autonomous teams of aerial and ground robots International Symposium on Safety, Security and in search and rescue missions Rescue Robotics ( SSRR ) 2013 du 21 octobre au 26 octobre 2013, Linkoping (Suède), Octobre 2013, 21p. HATP: An HTN planner for robotics International Conference on Automated Planning and Scheduling ( ICAPS ) 2014 du 21 juin au 26 juin 2014, Portsmouth (USA), Juin 2014, 8p. SkyScanner: deploying fleets of enduring drones International Workshop on Multi-unmanned Vehicle to probe atmospheric phenoma Systems ( MUVS ) 2014 du 30 juin au 03 juillet 2014, Compiègne (France), Juin 2014, 10p. Published in: De l'importance des modèles de l'environnement pour les systèmes de robots autonomes hétérogènes Projection sur les fonctionnalités et services des robots du futur Perception et localisation pour les robots mobiles en milieux naturels UAV target tracking iterative prediction using an Journée de l'Intelligence Artificielle Embarquée, Cergy Pontoise (France), 17 Mai 2011, 35p. Robots militaires terrestres et Tactique, Paris (France), 11 Janvier 2011, 10p. Journées mobilité en milieux naturels 2014 du 16 avril au 17 avril 2014, Montoldre (France), Avril 2014, 16p. Published in: adversarial Self-calibration constraints on Euclidean bundle adjustment parametrization. Application to the 2 views case F.J.TRUJILLO ROMERO, M.DEVY Appearance-based and active 3D object recognition using vision Scientific Production — 48 IEEE International Conference on Robotics and Automation (ICRA 2009), Kobe (Japon), 12-17 Mai 2009, 6p. IEEE International Conference on Robotics and Automation (ICRA 2009), Kobe (Japon), 12-17 Mai 2009, pp.1504-1509 IEEE International Conference on Robotics and Automation (ICRA 2009), Kobe (Japon), 12-17 Mai 2009, 8p. IEEE Virtual Reality Conference (VR 2009), Lafayette (USA), 14-18 Mars 2009, 7p. 15th IFAC Symposium on System Identification (SYSID 2009), St Malo (France), 6-8 Juillet 2009, 6p. 15th IFAC Symposium on System Identification (SYSID 2009), St Malo (France), 6-8 Juillet 2009, pp.1738-1743 International Conference on Computer Vision Theory and Applications (VISAPP 2009), Lisbone (Portugal), 5-8 Février 2009, 8p. International Conference on Computer Vision Theory and Applications (VISAPP 2009), Lisbone (Portugal), 5-8 Février 2009, 7p. International Conference on Computer Vision Theory and Applications (VISAPP 2009), Lisbonne (Portugal), 5-8 Février 2009, 8p. MAI09111 MAI09118 MAI09119 MAI09120 MAI09124 MAI09131 MAI09139 F.CAMPS, S.HARASSE, A.MONIN Numerical calibration for 3-axis accelerometers 2009 IEEE International Conference on and magnetometers Electro/Information Technology, Windsor (Canada), 7-9 Juin 2009, pp.217-221 D.KAYNOV, P.SOUERES, A practical decoupled stabilizer for joint-position International Conference on Intelligent Robots and C.BALAGUER controlled humanoid robots Systems (IROS 2009), Saint Louis (Etats-Unis), 1115 Octobre 2009, pp.3392-3397 N.S.M.NARSIPURA Steering a humanoid robot by its head International Conference on Intelligent Robots and SREENIVASA, P.SOUERES, Systems (IROS 2009), Saint Louis (Etats-Unis), 11J.P.LAUMOND, A.BERTHOZ 15 Octobre 2009 , pp.4451-4456 T.M.TUAN, P.SOUERES, M.TAIX, Eye-centered vs body-centered reaching IEEE International Conference on Robotics and B.GIRARD control: a computational approach to the debate Biomimetics (ROBIO 2009), Guilin (Chine), 19-23 Décembre 2009, pp.568-573 D.LE, J.CORTES, T.SIMEON A path planning approach to (dis)assembly 5th Annual IEEE Conference on Automation sequencing Science Engineering (CASE 2009), Bangalore (Inde), 22-25 Août 2009, 6p. D.FLAVIGNE, M.TAIX, E.FERRE Interactive motion planning for assembly tasks International Symposium on Robot and Human Interactive Communication, RO-MAN 2009, Toyama (Japon), 27 Septembre-2 Octobre 2009, pp.430-435 E.YOSHIDA, M.POIRIER, Regrasp planning for pivoting manipulation by a IEEE International Conference on Robotics and J.P.LAUMOND, O.KANOUN, humanoid robot Automation (ICRA 2009), Kobe (Japon), 12-17 Mai 2009, 6p. F.LAMIRAUX, R.ALAMI, K.YOKOI MAI09178 O.KANOUN, F.LAMIRAUX, P.B.WIEBER MAI09234 M.ALI, S.ALILI, M.WARNIER, R.ALAMI MAI09254 M.GOLLER, T.KERSCHER, J.M.ZOLLNER, R.DILLMANN, M.DEVY, T.GERMA, F.LERASLE M.DEVY, M.IBARRA MANZANO, J.L.BOIZARD, P.LACROIX, W.FILALI, J.Y.FOURNIOLS Y.RAOUI, M.GOLLER, M.DEVY, T.KERSCHER, J.M.ZOLLNER, R.DILLMANN, A.COUSTOU L.SAAB, P.SOUERES, J.Y.FOURQUET MAI09255 MAI09256 MAI09292 Prioritizing linear equality and inequality systems: applicaition to local motion planning for redundant robots An architecture supporting proactive robot companion behavior Setup and control architecture for an interactive shopping cart in human all day environments Integrated subsystem for obstacle detection from a belt of micro-cameras RFID-based topological and matrical localization in a structured environment self- Coupling manipulation and locomotion tasks for a humanoid robot MAI09336 K.BOUYARMANE, A.ESCANDE, Potential Field Gide for Humanoid Multicontacts F.LAMIRAUX, A.KHEDDAR Acyclic Motion Planning MAI09338 N.MANSARD, O.STASSE, P.EVRARD, A.KHEDDAR MAI09340 A.KUMAR, R.ALAMI MAI09341 A.KUMAR, R.ALAMI MAI09344 R.W.LONGMAN, K.MOMBAUR MAI09369 J.BONNAL, S.ARGENTIERI, P.DANES, J.MANHES MAI09379 C.CASENAVE, G.MONTSENY MAI09382 N.OUADAH, V.CADENAT, F.BOUDJEMA, M.HAMERLAIN MAI09385 A.DURAND PETITEVILLE, M.COURDESSES, V.CADENAT MAI09399 T.VIDAL CALLEJA, C.BERGER, S.LACROIX MAI09430 K.MOMBAUR, J.P.LAUMOND, T.TRUONG MAI09457 M.FONTMARTY, F.LERASLE, P.DANES A Versatile Generalizes Inverted Kinematics Implementation for Collaborative Humanoid Robots : The Stack of Taks A framework for adapting social conventions in a mobile robot motion in human-centered environment A step towads a sociable robot guide wich monitors and adapts to the person's activities IEEE International Conference on Robotics and Automation (ICRA 2009), Kobe (Japon), 12-17 Mai 2009, 6p. AISB 2009 Convention - Adaptive & Emergent Behavior & Complex Systems, Edimbourg (Ecosse), 6-9 Avril 2009, 8p. 14th International Conference on Advanced Robotics (ICAR 2009), Munich (Allemagne), 22-26 Juin 2009, 6p. 14th International Conference on Advanced Robotics (ICAR 2009), Munich (Allemagne), 22-26 Juin 2009, 6p. 14th International Conference on Advanced Robotics (ICAR 2009), Munich (Allemagne), 22-26 Juin 2009, 6p. Conference on Advances in Computational tools for Engineering Applications (ACTEA 2009), Zouk Mosbeh (Liban), 15-17 Juillet 2009, 6p. ICRA 2009 - International Conference on Robotics and Automation, Kobe (Japon), 12-17 Mai 2009, 6p. ICAR 2009 - International Conference on Robotics and Automation, Munich (Allemagne), 22-26 Juin 2009, 7p. 14th International Conference on Advanced Robotics (ICAR 2009), Munich (Allemagne), 22-26 Juin 2009, 8p. 14th International Conference on Advanced Robotics (ICAR 2009), Munich (Allemagne), 22-26 Juin 2009, 8p. Iterative Learning Control in Nonlinear Systems AAS/AIAA Space Flight mechanics meeting, using State Estimation for Relinearisation Savannah (USA), 8-12 Février 2009 Speaker Localization and Speech Extraction International Conference on Intelligent Robots and with the EAR sensor Systems (IROS 2009), St. Louis (Etats-Unis), 11-15 Octobre 2009, pp.670-675 A cancellation operator suitable for identification IFAC Workshop on Control Distributed Parameter of nonlinear volterra models Systems (CDPS 09), Toulouse (France), 20-24 Juillet 2009, 2p. Image based robust visual servoing on human 4th European Conference on Mobile Robots face to improve human/robot interaction (ECMR 2009), Mlini/Dubrovnick (Croatie), 23-25 Septembre 2009, pp.155-160 Reconstruction of the features depth to improve 9th International Workshop on Electronics, Control, the execution of a vision-based task Modelling, Measurement and Signals (ECMS 2009), Mondragon (Espagne), 8-10 Juillet 2009, 6p. Event-drive loop closure in multi-robot mapping International Conference on Intelligent Robots and Systems (IROS 2009), Saint Louis (Etats-Unis), 1115 Octobre 2009, pp.1535-1540 An inverse optimal control approach to human International Symposium of Robotics Research ( motion modeling ISRR ) 2009 du 31 août au 03 septembre 2009, Lucerne (Suisse), Août 2009, 18p. Visual human motion capture from a mobile IEEE International Symposium on Robot and robot Human Interactive Communication, RO-MAN 2009, Toyama (Japon), 27 Septembre-2 octobre 2009, pp.829-834 Scientific Production — 49 MAI09458 M.FONTMARTY, F.LERASLE, P.DANES MAI09459 M.FONTMARTY, P.DANES, F.LERASLE MAI09471 P.EVRARD, O.STASSE, N.MANSARD, A.KHEDDAR MAI09472 F.KEITH, N.MANSARD, S.MIOSSEC, A.KHEDDAR MAI09473 F.KEITH, N.MANSARD, S.MIOSSEC, A.KHEDDAR MAI09474 F.KEITH, N.MANSARD, S.MIOSSEC, A.KHEDDAR MAI09541 M.GHARBI, J.CORTES, T.SIMEON MAI09572 M. VALLEE, B.BURGER, D. ERTL, F.LERASLE, J. FALB MAI09583 J. GUITTON, J.-L.FARGES, R.CHATILA MAI09618 D.FLAVIGNE, M.TAIX MAI09623 T.GERMA, F.LERASLE, N.OUADAH, V.CADENAT, M.DEVY J.BROCHARD, B.BURGER, A.HERBULOT, F.LERASLE Likelihood tuning for particle filter in visual IEEE International Conference on Image tracking Processing (ICIP 2009), Le Caire (Egypte), 7-11 Novembre 2009, pp.4101-4104 Quasi monte Carlo partitioned filtering for visual IEEE International Conference on Image human motion capture Processing (ICIP 2009), Le Caire (Egypte), 7-11 Novembre 2009, pp.2553-2556 Intercontinental, multimodal, wide-area tele- International Conference on Intelligent Robots and cooperation using a humanoid robot Systems (IROS 2009), Saint Louis (Etats-Unis), 1115 Octobre 2009 , pp.5635-5640 Optimization of Tasks Warping and Scheduling IEEE RSJ International Conference on Intelligent for Smooth Sequencing Robots and Systems 2009 du 11 octobre au 15 of Robotic Actions octobre 2009, St Louis (USA), 2009, pp.1609-1614 J.SOLA From discrete mission schedule to continuous International Conference on Automated Planning implicit trajectory using optimal time warping and Scheduling ( ICAPS ) 2009 du 19 septembre au 23 septembre 2009, Thessaloniki (Grèce), Septembre 2009, 4p. Optimized time-warping tasks scheduling for 9th International IFAC Symposium on Robot smooth sequencing Control (SYROCO'09), Gifu (Japon), 9-12 Septembre 2009, pp.265-270 Roadmap Composition for Multi-Arm Systems International Conference on Intelligent Robot and path Planning Systems (IROS 2009), Saint Louis (Etats-Unis), 1115 Octobre 2009, pp.2471-2476 Improving user interfaces of interactive robots International Conference on Advanced Robotics with multimodality (ICAR 2009), Vienne (Autriche), 22-26 Mai 2009, pp.130-135 cell-RRT: Decomposing the environment for International Conference on Intelligent Robots and better plan Systems (IROS 2009), Saint Louis (Etats-Unis), 1115 Octobre 2009, pp.5776-5781 How to interface a human operator and a motion Workshop A Improving Human Robot planning algorithm Communication, Toyama (Japon), 28 Septembre 2009, 4p. Vision and RFID-based Person Tracking in International Conference on Intelligent Robots and Crowds from a Mobile Robot Systems (IROS 2009), Saint Louis (Etats-Unis), 1115 Octobre 2009, pp.5591-5596 Measuring Gaze Orientation for Human-Robot Workshop A Improving Human Robot Communication , Toyama (Japon), 28 Septembre 2009, 4p. GenoM3 : Building middleware-independent International Conference on Robotics and robotic components Automation (ICRA 2010), Anchorage (USA), 3-8 Mai 2010, pp.4627-4632 A new efficient nonlinear filter based on support IEEE International Conference on Image vector machines for image denoising Processing (ICIP) 2009 du 07 novembre au 11 novembre 2009, Le Caire (Egypte), 2009, 4p. Landmark constellation matching dor planetary International Conference on Computer Vision, lander absolute localization Imaging and Computer Graphics Theory and Applications (VISIGRAPP 2010), Angers (France), 17-21 Mai 2010, 8p. Science driven autonomous navigation for safe European Conference for Aero-space Sciences planetary pin-point anding (EUCASS 2009), Paris (France), 6-9 Juillet 2009, 13p. Visual landmark constellation matching for AIAA Guidance Navigation and Control spacecraft pinpoint landing Conference, Chicago (UISA), 10-13 Août 2009, Consistency of the monocular EKF-SLAM 13p. IEEE International Conference on Robotics and MAI09776 J.SOLA, T.VIDAL CALLEJA, M.DEVY algorithm for 3 different landmark parametrizations Undelayed initialization of line segments in Monocular SLAM MAI09785 S.BOUAZIZ, M.ALI, H.AUBERT MAI09789 S.DALIBARD, A.NAKHAEI SARVEDANI, F.LAMIRAUX, J.P.LAUMOND O.KANOUN, E.YOSHIDA, J.P.LAUMOND MAI09626 MAI09652 MAI09712 A.MALLET, C.PASTEUR, M.HERRB, S.LEMAIGNAN, F.INGRAND D.MARQUEZ GAMEZ, M.DEVY, J.SOLA MAI09741 B.PHAM, S.LACROIX, M.DEVY, M.DRIEUX, T.VOIRIN MAI09742 S.REYNAUD, M.DRIEUX, C.PHILIPPE, L.F.SIMOES, B.PHAM B.PHAM, S.LACROIX, M.DEVY, M.DRIEUX, C.PHILIPPE MAI09743 MAI09775 MAI09790 MAI09834 T.VIDAL CALLEJA, C.BERGER, J.SOLA, S.LACROIX MAI09842 M.IBARRA MANZANO, D.ALMANZA-OJEDA, M.DEVY Automation ( ICRA ) 2010 du 03 mai au 07 mai 2010, Anchorage (USA), Mai 2010, pp.3513-3518 International Conference on Intelligent Robots and Systems (IROS 2009), Saint Louis (Etats-Unis), 1115 Octobre 2009, pp.1553-1558 Low-profile Wideband Antenna with Asia Pacific Microwave Conference (APMC 2009), Unidirectional Inclined Radiation Pattern for c- Singapore (Singapore ), 7-10 Décembre 2009, 4p. band Airborne Applications Whole-body Task Planning For A Humanoid 9th IEEE-RAS International Conference on Robot: A Way To Integrate Collision Avoidance Humanoid Robots (Humanoids 09), Paris (France), 7-10 Décembre 2009, pp.355-360 An Optimization formulation for footsteps 9th IEEE-RAS International Conference on planning Humanoid Robots (Humanoids 09), Paris (France), 7-10 Décembre 2009, pp.202-207 Environment modeling for cooperative COGnitive systems with Interactive Sensors ( aerial/ground robotic systems COGIS ) 2009 du 16 novembre au 18 novembre 2009, Paris (France), Novembre 2009, 6p. Stereo vision algorithm implementation in FPGA Euromicro Conference on Digital System Design ( using census transform for effective resource DSD ) 2009 du 27 août au 29 août 2009, Patras optimization (Grèce), Août 2009, 7p. Scientific Production — 50 MAI09843 M.IBARRA MANZANO, M.DEVY, J.L.BOIZARD, P.LACROIX, J.Y.FOURNIOLS MAI09883 M.IBARRA MANZANO, M.DEVY, J.L.BOIZARD, P.LACROIX, W.FILALI, J.Y.FOURNIOLS MAI09892 A.BELBACHIR, F.INGRAND, S.LACROIX MAI09905 B.BURGER, F.LERASLE, I.FERRANE MAI09912 O.STASSE, P.EVRARD, N.PERRIN, N.MANSARD, A.KHEDDAR Y.RAOUI, M.DEVY, E.H.BOUYAKHF MAI09938 MAI09976 P.PIERRO, O.STASSE, A.KHEDDAR, K.YOKOI, C.BALAGUER MAI09978 M.MORISAWA, K.HERADA, S.KAJITA, K.KANEKO, J.SOLA, E.YOSHIDA, N.MANSARD, K.YOKOI, J.P.LAUMOND A.BELBACHIR, S.LACROIX, F.INGRAND, M.PERRIER, J.OPDERBECKE N.PERRIN, O.STASSE, F.LAMIRAUX, E.YOSHIDA MAI10066 MAI10074 MAI10083 MAI10084 MAI101014 MAI10118 MAI10119 MAI10155 MAI10161 MAI10162 MAI10166 MAI10175 MAI10178 MAI10179 An efficient reconfigurable architecture to International Conference on Field Programmable implement dense stereo vision algorithm using Logic and Applications (FPL 2009), Prague high-level synthesis (République Tchèque), 31 Août - 3 Septembre 2009, pp.444-447 Obstacle avoidance by a multi-cameras system Manifestations avec actes, 9th International Workshop on Electronics, Control, Modelling, Measurement and Signals (ECMS 2009), Mondragon (Espagne), 8-10 Juillet 2009, 6p. A cooperative architecture for target localization International Symposium on Unmanned Untethered with underwater vehicles Submersible Technology (UUST 09), Durham (UK), 23-26 Août 2009, 11p. Evaluations of embedded modules dedicated to International Symposium on Robot and Human multimodal human-robot interaction Interactive Communication (RO-MAN 2009), Toyama (Japon), 27 Septembre - 2 Octobre 2009, pp.699-704 Fast foot prints re-planning and motion 9th IEEE-RAS International Conference on generation during walking in physical human- Humanoid Robots (Humanoids 09), Paris (France), humanoid interaction 7-10 Décembre 2009, pp.284-289 Scale and rotation invariant local features using International Conference Sciences of Electronic Harris Laplace detector in color textured images Technologies of Information and Telecommunications (SETIT 2009), Hammamet (Tunisie), Mars 2009, 6p. Humanoid feet trajectory generation for the IEEE-RAS International Conference on Humanoid reduction of the dynamical effects Robots ( HUMANOIDS ) 2009 du 07 décembre au 10 décembre 2009, Paris (France), Décembre 2009, pp.454-458 Reactive stepping to prevent falling for IEEE-RAS International Conference on Humanoid humanoids Robots ( HUMANOIDS ) 2009 du 07 décembre au 10 décembre 2009, Paris (France), Décembre 2009, pp.528-534 Cooperative-adaptive algorithms for targets Autonomous Underwater Vehicles (IEEE AUV localization in underwater environment 2010), Monterey (USA), 1-3 Septembre 2010, 7p. Approximation of feasibility tests for reactive International Conference on Robotics and walk on HRP-2 Automation (ICRA 2010), Anchorage (USA), 4-8 Mai 2010, pp.4243-4248 A.CODAS DUARTE, M.DEVY, Robot localization algorithm using odometry and IFAC Symposium on Intelligent Autonomous C.LEMAIRE RFID technology Vehicles (IAV 2010), Lecce (Italie), 6-8 Septembre 2010, 6p. A.DURAND PETITEVILLE, A unified initialization phase to improve visual IFAC Symposium on Intelligent Autonomous V.CADENAT, M.COURDESSES servoing in an unknown environment Vehicles (IAV 2010), Lecce (Italie), 6-8 Septembre 2010, 6p. M.MORISAWA, F.KANEHIRO, Combining suppression of the disturbance and IEEE/RSJ International Conference on Intelligent K.KANEKO, N.MANSARD, reactive stepping for recovering balance Robots and Systems ( IROS ) 2010 du 18 octobre J.SOLA, E.YOSHIDA, K.YOKOI, au 22 octobre 2010, Taipei (Taiwan), Octobre 2010, J.P.LAUMOND pp.3150-3156 D.ALMANZA-OJEDA, M.DEVY, Visual-based detection and tracking of dynamic International Conference on Informatics in Control, A.HERBULOT obstacles from a mobile robot Automation and Robotics (ICINCO 2010), Funchal (Portugal), 25-18 Juin 2010, pp.98-105 A.DURAND PETITEVILLE, A new predictor/corrector pair to estimate the International Conference on Informatics in Control, M.COURDESSES, V.CADENAT visual features depth during a vision-based Automation and Robotics (ICINCO 2010), Funchal navigation task in an unknown environment (Portugal), 15-18 Juin 2010, pp.268-274 S.LEMAIGNAN, R.ROS ORO, a knowledge management module for IEEE/RSJ International Conference on Intelligent ESPINOZA, L.MOSENLECHNER, cognitive architectures in robotics Robots and Systems (IROS 2010), Taipei (Taiwan), R.ALAMI, M.BEETZ 18-22 Octobre 2010, pp.3548-3553 C.CASENAVE, G.MONTSENY, Identification of dynamic nonlinear thermal Symposium on Design, Test, Integration & H.CAMON, F.BLARD transfers for precise correction of bias induced Packaging of MEMS/MOEMS (DTIP 2010), Séville by temperature variations (Espagne), 5-7 Mai 2010, pp.376-381 C.CASENAVE, G.MONTSENY Introduction to diffusive representation IFAC Symposium on System, Structure and Control (SSSC 2010), Ancone (Italie), 15-17 Septembre 2010, 6p. C.CASENAVE Identification of time-non local models under IFAC Symposium on System, Structure and Control diffusive representation (SSSC 2010), Ancone (Italie), 15-17 Septembre 2010, pp.378-385 A.ESCANDE, N.MANSARD, Fast resolution of hierarchized inverse International Conference on Robotics and P.B.WIEBER kinematics with inequality constraints Automation (ICRA 2010), Anchorage (USA), 3-8 Mai 2010, pp.3733-3738 M.DEVY, D.ALMANZA-OJEDA, Mobile object detection from an embedded IFAC World Congress 2011 du 28 août au 02 A.HERBULOT camera: how to minimize the latency time ? septembre 2011, Milan (Italie), doi 10.3182/20110828-6-IT-1002.03373, Août 2011, pp.2220-2225 J.BONNAL, P.DANES, Detection of acoustic patterns by stochastic IEEE/RSJ International Conference on Intelligent M.RENAUD matched filtering Robots and Systems (IROS 2010), Taipei (Taiwan), 18-22 Octobre 2010, pp.1970-1975 Scientific Production — 51 MAI10181 P.DANES, J.BONNAL MAI10182 A.DURAND PETITEVILLE, M.COURDESSES, V.CADENAT, P.BAILLION B.PHAM, S.LACROIX, M.DEVY, T.VOIRIN, M.DRIEUX, C.BOURDARIAS N.S.M.NARSIPURA SREENIVASA, P.SOUERES, J.P.LAUMOND MAI10189 MAI10229 MAI10240 MAI10262 MAI10263 MAI10272 MAI10285 MAI10286 MAI10298 MAI10308 MAI10439 MAI10524 MAI10535 MAI10615 MAI10626 MAI10627 MAI10628 MAI10670 MAI10718 MAI10719 Information-theoretic detection of broadband IEEE/RSJ International Conference on Intelligent sources in a coherent beamspace MUSIC Robots and Systems ( IROS ) 2010 du 18 octobre scheme au 22 octobre 2010, Taipei (Taiwan), Octobre 2010, pp.1976-1981 On-line estimation of the reference visual IEEE/RSJ International Conference on Intelligent features. Application to a vision based long Robots and Systems (IROS 2010), Taipei (Taiwan), range navigation task 18-22 Octobre 2010, 8p. Fusion of absolute vision-based localization and International Planetary Probe Workshop, Barcelone visual odometry for spacecraft pinpoint landing (Espagne), 14-18 Juin 2010, 6p. On using human movement invariants to IEEE/RAS-EMBS International Conference on generate target-driven anthropomorphic Biomedical Robotics and Biomechatronics locomotion (BioRob'2010), Tokyo (Japon), 26-29 Septembre 2010, pp.722-727 R.LOPEZ, P.DANES, F.ROYER Extending the IMM filter to heterogeneous-order IEEE Conference on Decision and Control (CDC state space models 2010), Atlanta (USA), 15-17 Décembre 2010, pp.7369-7374 J.MAINPRICE, E.A.SISBOT, Planning human-aware motions using a IEEE International Conference on Robotics and L.JAILLET, J.CORTES, R.ALAMI, sampling-based costmap planner Automation (IEEE ICRA 2011), Shangaï (Chine), 913 Mai 2011, pp.5012-5017 T.SIMEON J.SAUT, M.GHARBI, J.CORTES, Planning pick and place tasks with two-hand IEEE/RSJ International Conference on Intelligent D.SIDOBRE, T.SIMEON regrasping Robots and Systems (IROS 2010), Taipei (Taiwan), 18-22 Octobre 2010, pp.4528-4533 F.MONTECILLO PUENTE, On real-time whole-body human to humanoid International Conference on Informatics in Control, N.S.M.NARSIPURA motion transfer Automation and Robotics (ICINCO 2010), Funchal SREENIVASA, J.P.LAUMOND (Portugal), 15-18 Juin 2010, pp.22-31 C.HALGAND, P.SOUERES, A robotics approach for interpreting the gaze- IEEE/RAS-EMBS International Conference on Y.TROTTER, C.JOUFFRAIS related modulation of the activity of premotor Biomedical Robotics and Biomechatronics neurons during reaching (BioRob'2010), Tokyo (Japon), 26-29 Septembre 2010, pp.728-733 T.M.TUAN, P.SOUERES, M.TAIX, Humanoid human-like reaching control based on International Symposium in Robot and Human N.S.M.NARSIPURA movement primitives interactive Communication (IEEE ROMAN 2010), SREENIVASA, C.HALGAND Viareggio (Italie), 12-15 Septembre 2010, pp.581586 E.MONTSENY, H.CAMON Simple and efficient control of MEMS by means Symposium on Design, Test, Integration & of operatorial transformations Packaging of MEMS/MOEMS (DTIP 2010), Séville (Espagne), 5-7 Mai 2010, pp.148-153 X.BROQUERE, D.SIDOBRE, From motion planning to trajectory control with International Conference on Robotics and N.K.NGUYEN bounded jerk for service manipulator robots Automation (ICRA 2010), Anchorage (USA), 3-8 Mai 2010, pp.4505-4510 B.BOUNAB, D.SIDOBRE Performances of the central-axis approach in International Conference on Robotics and grasp analysis Automation (ICRA 2010), Anchorage (USA), 3-8 Mai 2010, pp.1273-1278 E.MONTSENY Desingularization of non local dynamic models IFAC Symposium on System, Structure and Control by means of operatorial transformations and (SSSC 2010), Ancone (Italie), 15-17 Septembre application to a flame model 2010, 6p. A.BELBACHIR, F.INGRAND, Localizing underwater targets using a International Conference on Machine and Web S.LACROIX cooperative AUV architecture Intelligence (ICMWI 2010), Alger (Algérie), 3-5 Octobre 2010, pp.153-158 T.TRUONG, D.FLAVIGNE, Reactive synthesizing of human locomotion IEEE/RAS-EMBS International Conference on J.PETTRE, K.MOMBAUR, combining nonholonomic and holonomic Biomedical Robotics and Biomechatronics behaviors (BioRob'2010), Tokyo (Japon), 26-29 Septembre J.P.LAUMOND 2010, pp.632-637 D.DEVAURS, T.SIMEON, Parallelizing RRT on distributed memory IEEE International Conference on Robotics and J.CORTES architectures Automation (IEEE ICRA 2011), Shanghaï (Chine), 913 Mai 2011, pp.2261-2266 Y.LI, J.SAUT, J.CORTES, Finding enveloping grasps by matching IEEE International Conference on Robotics and T.SIMEON, D.SIDOBRE continuous surfaces Automation (IEEE ICRA 2011), Shanghaï (Chine), 913 Mai 2011, pp.2825-2830 D.BERENSON, T.SIMEON, Addressing cost-space chasms in manipulation IEEE International Conference on Robotics and S.SRINIVASA planning Automation (IEEE ICRA 2011), Shanghaï (Chine), 913 Mai 2011, pp.4561-4568 A.S.RATH, D.DEVAURS, Studying the factors influencing automatic user European Conference on Technology Enhanced S.N.LINDSTAEDT task detection on the computer desktop Learning (EC-TEL 2010), Barcelone (Espagne), 28 Septembre - 1 Octobre 2010, pp.292-307 R.ROS ESPINOZA, Which one ? Grounding the referent based on International Symposium in Robot and Human S.LEMAIGNAN, E.A.SISBOT, efficient human-robot interaction interactive Communication (IEEE ROMAN 2010), R.ALAMI, J.STEINWENDER, Viareggio (Italie), 12-15 Septembre 2010, pp.605K.HAMANN, F.WARNEKEN 610 M.GOLLER, F.STEINHARDT, Control-sharing and trading of a service robot International Symposium in Robot and Human T.KERSCHER, R.DILLMANN, acting as intelligent shopping cart interactive Communication (IEEE ROMAN 2010), Viareggio (Italie), 12-15 Septembre 2010, pp.661M.DEVY, T.GERMA, F.LERASLE 666 Scientific Production — 52 MAI10725 T.KRUSE, A.KIRSCH, E.A.SISBOT, R.ALAMI MAI10770 S.HEBIB, S.BOUAZIZ, H.AUBERT, F.LERASLE MAI10776 D.FLAVIGNE, M.TAIX MAI10793 A.BELBACHIR, F.INGRAND, S.LACROIX MAI10804 A.MONTOYA SALADO, B.VANDEPORTAELE, S.LACROIX, G.HATTENBERGER N.MUHAMMAD, S.LACROIX MAI10835 MAI10841 S.BENSALEM, L.DE SILVA, M.GALLIEN, F.INGRAND, R.YAN MAI10842 S.BENSALEM, L.DE SILVA, M.GALLIEN, F.INGRAND, R.YAN MAI10844 MAI10846 S.DALIBARD, A.NAKHAEI SARVEDANI, F.LAMIRAUX, J.P.LAUMOND S.HAK, N.MANSARD, O.STASSE MAI10857 J.M.CODOL, M.PONCELET MAI10881 B.DUCAROUGE, T.SENTENAC, F.BUGARIN, M.DEVY MAI10884 D.ALMANZA-OJEDA, M.DEVY, A.HERBULOT MAI10886 M.IBARRA MANZANO, M.DEVY, J.L.BOIZARD MAI10895 A.HERDT, N.PERRIN, P.B.WIEBER MAI10898 I.ZURIARRAIN, J.I.AIZPURUA, F.LERASLE, N.ARANA MAI10927 A.KUMAR, R.ALAMI MAI10960 A.KUMAR, R.ALAMI MAI10989 O.KANOUN, J.P.LAUMOND MAI11026 A.A.MEKONNEN, F.LERASLE, I.ZURIARRAIN MAI11070 A.DEGROOTE, S.LACROIX MAI11098 B.MEDEN, P.SAYD, F.LERASLE MAI11153 B.PHAM, S.LACROIX, M.DEVY, T.VOIRIN, C.BOURDARIAS, M.DRIEUX Exploiting human cooperation centered robot navigation in human- International Symposium in Robot and Human interactive Communication (IEEE ROMAN 2010), Viareggio (Italie), 12-15 Septembre 2010, pp.212217 Circularly polarized RFID reader antennas for Progress in Electromagnetics Research robotic application Symposium (PIERS 2011), Marrakech (Maroc), 2023 Mars 2011, 1p. Improving motion planning in weakly connected IEEE/RSJ International Conference on Intelligent environments Robots and Systems (IROS 2010), Taipei (Taiwan), 18-22 Octobre 2010, pp.5900-5905 Localizing underwater targets using a International Conference on Machine and Web cooperative AUV architecture Intelligence (ICMWI 2010), Algers (Algérie), 3-5 Octobre 2010, pp.132-137 Flight autonomy of micro-drone in indoor International Micro Air Vehicle Conference and environments using LiDAR flash camera Flight Competition (IMAV 2010), Braunschweig (Allemagne), 6-9 Juillet 2010, 8p. Calibration of a rotating multi-beam lidar IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS 2010), Taipei (Taiwan), 18-22 Octobre 2010, pp.5648-5653 A verifiable and correct-by-construction IARP Workshop on Technical Challenges for controller for robots in human environments Dependable Robots in Human Environments (DRHE 2010), Toulouse (France), 16-17 Juin 2010, 11p. "Rock solid" software: a verifiable and correct-by-International Symposium on Artificial Intelligence, construction controller for rover and spacecraft Robotics and Automation in Space (i-SAIRAS functional levels 2010), Sapporo (Japon), 29 Août - 1er Septembre 2010, 9p. Manipulation of documented objects by a IEEE-RAS International Conference on Humanoid walking humanoid robot Robots (HUMANOIDS 2010), Nashville (USA), 6-8 Décembre 2010, pp.518-523 Humanoid robot task recognition from IEEE-RAS International Conference on Humanoid movement analysis Robots (HUMANOIDS 2010), Nashville (USA), 6-8 Décembre 2010, pp.314-321 GNSS technologies in robotic applications. Toulouse Space Show, Toulouse (France), 8-11 MOW-BY-SAT, an autonomous robotic Juin 2010, 6p. lawnmower using GPS, EGNOS and GALILEO A global projective rectification approach for International Symposium on 3D Data Processing uncalibrated infrared stereo images Visualization and Transmission (3DPVT 2010), Paris (France), 17-20 Mai 2010, 8p. Incremental detection and tracking of moving International Conference on Computer Vision, objects by optical flow and a contrario method Imaging and Computer Graphics Theory and Applications (VISIGRAPP 2010), Angers (France), 17-21 Mai 2010, 4p. Real-time classification based on color and Conference on Design and Architectures for Signal texture attributes on a FPGA-based architecture and Image Processing (DASIP 2010), Edimbourg (UK), 26-28 Octobre 2010, 8p. Walking without thinking about it IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS 2010), Taipei (Taiwan), 18-22 Octobre 2010, 7p. Multiple-person tracking devoted to distributed IEEE/RSJ International Conference on Intelligent milti smart camera networks Robots and Systems (IROS 2010), Taipei (Taiwan), 18-22 Octobre 2010, pp.2469-2474 Mightability maps: a perceptual level decisional IEEE/RSJ International Conference on Intelligent framework for co-operative and competitive Robots and Systems (IROS 2010), Taipei (Taiwan), human-robot interaction 18-22 Octobre 2010, pp.5842-5848 A framework towards a socially aware mobile IEEE/RSJ International Conference on Intelligent robot motion in human-centered dynamic Robots and Systems (IROS 2010), Taipei (Taiwan), environment 18-22 Octobre 2010, pp.5855-5860 Optimizing the stepping of a humanoid robot for IEEE-RAS International Conference on Humanoid a sequence of tasks Robots ( HUMANOIDS ) 2010 du 06 décembre au 08 décembre 2010, Nashville (USA), Décembre 2010, pp.204-209 Multi-modal person detection and tracking from International Conference on Computer Vision a mobile robot in a crowded environment Theory and Applications (VISAPP 2011), Algarve (Portugal), 5-7 Mars 2011, 10p. ROAR: resource oriented agent architecture for Conference on Practical Applications of Agents and the autonomy of robots Multi-Agent Systems (PAAMS 2011), Salamanque (Espagne), 6-8 Avril 2011, pp.73-78 Mixed-state particle filtering for simultaneous Scandinavian Conference on Image Analysis (SCIA tracking and re-identification in non-overlapping 2011), Ystad Saltsjöbad (Suède), 23-27 Mai 2011, camera networks 10p. Fusion of visual odometry and landmark Symposium on Advanced Space Technologies in constellation matching for spacecraft absolute Robotics and Automation (ASTRA 2011), Noordwijk navigation: analysis and experiments (Pay Bas), 12-14 Avril 2011, 8p. Scientific Production — 53 MAI11160 A.CEBALLOS, L.DE SILVA, GenoM as a robotics framework for planetary Symposium on Advanced Space Technologies in M.HERRB, F.INGRAND, rover surface operations Robotics and Automation (ASTRA 2011), Noordwijk (Pays Bas), 12-14 Avril 2011, 7p. A.MALLET, A.MEDINA, M.PRIETO MAI11197 R.IEHL, J.CORTES, T.SIMEON Costmap planning configuration spaces MAI11240 N.PERRIN, O.STASSE, F.LAMIRAUX, E.YOSHIDA MAI11248 D.FLAVIGNE, M.TAIX MAI11262 A biped walking pattern generator based on IEEE International Conference on Robotics and "Half-Steps" for dimensionality reduction Automation (IEEE ICRA 2011), Shanghaï (Chine), 913 Mai 2011, pp.1270-1275 Interactive locomotion animation using path Emerging Technologies and Factory Automation planning (ETFA'2011), Toulouse (France), 5-9 Septembre 2011, 8p. A comparison of several approaches to perform IEEE Workshop on Electronics, Control, a vision-based long range navigation Measurement and Signals (ECMS 2011), Liberec (République Tchèque), 1-3 Juin 2011, 10p. A.DURAND PETITEVILLE, V.CADENAT, M.COURDESSES, F.DELPECH DE FRAYSSINET, A.MAGASSOUBA W.FILALI, D.BOTERO GALEANO, SOPC components for real time image M.DEVY, J.L.BOIZARD processing: rectification, distortion correction and homography A.DURAND PETITEVILLE, 2D visual servoing for a long range navigation in S.HUTCHINSON, V.CADENAT, a cluttered environment M.COURDESSES MAI11263 MAI11264 in high dimensional IEEE/ASME International Conference on Advanced Intelligent Mechatronics (AIM 2012), KaoHsiung (Taiwan), 11-14 Juillet 2012, pp.166-172 MAI11271 G.ECHEVERRIA, N.LASSABE, A.DEGROOTE, S.LEMAIGNAN Modular open robots simulation engine: MORSE MAI11273 W.AIT FARES, A.HERBULOT, M.DEVY, E.H.BOUYAKHF, F.REGRAGUI A.DEGROOTE, S.LACROIX A novel region-based active contour approach relying on local and global information MAI11280 Y.RAOUI, E.H.BOUYAKHF, M.DEVY Mobile robot localization scheme based on fusion of RFID and visual landmarks MAI11281 Y.RAOUI, M.DEVY, E.H.BOUYAKHF, F.REGRAGUI Comparing determinist and probabilistic methods for RFID-based self-localization and mapping MAI11295 E.MONTSENY MAI11353 A.MALLET, M.HERRB Control of fed-batch bioreactors models by means of dynamic time-scale transformation and operatorial parametrization Recent developments of the GenoM robotic component generator MAI11367 S.DALIBARD, A.EL KHOURY, F.LAMIRAUX, M.TAIX, J.P.LAUMOND L.BAUDOUIN, N.PERRIN, T.MOULARD, F.LAMIRAUX, O.STASSE, E.YOSHIDA A.SKAF, P.DANES MAI11275 MAI11369 MAI11383 ROAR: resource oriented agent architecture for the autonomy of robots Small-space controllability humanoid robot of a walking Real-time replanning using 3D environment for humanoid robot Optimal positioning of a binaural sensor on a humanoid head for sound source localization MAI11384 A.PORTELLO, P.DANES, S.ARGENTIERI Acoustic models and Kalman filtering strategies for active binaural sound localization MAI11385 B.COUDRIN, M.DEVY, J.J.ORTEU, L.BRETHES Precise registration of 3D images acquired from a hand-held visual sensor MAI11398 M.TAIX, D.FLAVIGNE Motion planning with interactive devices MAI11399 A.EL KHOURY, M.TAIX, F.LAMIRAUX Path optimization for humanoid walk planning: an efficient approach MAI11429 T.MOULARD, F.LAMIRAUX, O.STASSE Trajectory following for legged robots MAI11430 O.RAMOS PONCE, L.SAAB, S.HAK, N.MANSARD Dynamic motion capture and edition using a stack of tasks Scientific Production — 54 IEEE Workshop on Electronics, Control, Measurement and Signals (ECMS 2011), Liberec (République Tchèque), 1-3 Juin 2011, 6p. IEEE Conference on Decision and Control and European Control Conference (CDC-ECC 2011), Orlando (USA), 12-15 Décembre 2011, pp.56775682 IEEE International Conference on Robotics and Automation (IEEE ICRA 2011), Shanghai (Chine), 913 Mai 2011, 6p. IEEE International Conference on Image Processing (ICIP 2011), Bruxelles (Belgique), 11-14 Septembre 2011, pp.1049-1052 IEEE International Conference on Robotics and Automation (IEEE ICRA 2011), Shanghai (Chine), 913 Mai 2011, 6p. International Conference on Informatics in Control Automation and Robotics (ICINCO 2011), Noordwijkerout (Pays Bas), 28-31 Juillet 2011, 4p. International Conference on Informatics in Control Automation and Robotics (ICINCO 2011), Noordwijkerhout (Pays Bas), 28-31 Juillet 2011, 6p. World IFAC Congress (IFAC 2011), Milan (Italie), 28 Août - 2 Septembre 2011, pp.8439-8444 Conference on Control Architectures of Robots (CAR 2011), Grenoble (France), 24-25 Mai 2011, 4p. IEEE-RAS International Conference on Humanoid Robots (HUMANOIDS 2011), Bled (Slovénie), 2628 Octobre 2011, pp.739-744 IEEE-RAS International Conference on Humanoid Robots (HUMANOIDS 2011), Bled (Slovénie), 2628 Octobre 2011, pp.584-589 IEEE-RAS International Conference on Humanoid Robots (HUMANOIDS 2011), Bled (Slovénie), 2628 Octobre 2011, pp.165-170 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS 2011), San Francisco (USA), 25-30 Septembre 2011, pp.137-142 Advanced Concepts for Intelligent Vision Systems (ACIVS 2011), Ghert (Belgique), 22-25 Août 2011, 12p. IEEE Workshop on Electronics, Control, Measurement and Signals (ECMS 2011), Liberec (République Tchèque), 1-3 Juin 2011, 6p. International Conference on Informatics in Control Automation and Robotics (ICINCO 2011), Noordwijkerout (Pays Bas), 28-31 Juillet 2011, 6p. International Conference on Biomedical Robotics and Biomechatronics (BioRob'2012), Rome (Italie), 24-28 Juin 2012, 6p. IEEE-RAS International Conference on Humanoid Robots (HUMANOIDS 2011), Bled (Slovénie), 2628 Octobre 2011, pp.224-230 MAI11449 R.BOUMGHAR, S.LACROIX MAI11452 J.M.DIENOT, E.BATISTA, J.L.MASSOL, P.CHALIMBAUD MAI11527 R.LOPEZ, P.DANES MAI11576 W.AIT FARES, A.HERBULOT, M.DEVY, E.H.BOUYAKHF, F.REGRAGUI N.PERRIN, O.STASSE, F.LAMIRAUX, E.YOSHIDA MAI11577 Over the hill and far away: aerial/ground International Conference on Climbing and Walking cooperation for long range navigation Robots And the Support Technologies for Mobile Machines (CLAWAR 2011), Paris (France), 6-8 Septembre 2011, 8p. Study of a near-field antenna module for real International Conference on Electromagnetic Nearelectromagnetic noise and reliability evaluations Field Characterization and Imaging (ICONIC 2011), Rouen (France), 30 Novembre - 2 Décembre 2011, 4p. Exploiting rauch-tung-striebel formulae for IMM- IEEE International Conference on Accoustics, based smoothing of Markovian switching Speech, and Signal Processing (ICASSP 2012), systems Kyoto (Japon), 25-30 Mars 2012, pp.3953-3956 Une nouvelle approche des contours actifs Colloque GRETSI sur le traitement du signal et des basés région utilisant une information locale et images, Bordeaux (France), 5-8 Septembre 2011, globale 4p. Weakly collision-free paths for continuous IEEE/RSJ International Conference on Intelligent humanoid footstep planning Robots and Systems (IROS 2011), San Francisco (USA), 25-30 Septembre 2011, pp.4408-4413 Analysis of the discontinuities in prioritized tasks-IEEE/RSJ International Conference on Intelligent space control under discrete task scheduling Robots and Systems (IROS 2011), San Francisco operations (USA), 25-30Septembre 2011, 6p. Towards multi-state visuo-spatial reasoning International Conference on Advanced Robotics based proactive human-robot interaction (ICAR 2011), Tallinn (Estonie), 20-23 Juin 2011, 7p. MAI11635 F.KEITH, P.B.WIEBER, N.MANSARD, A.KHEDDAR MAI11636 A.PANDEY, M.ALI, M.WARNIER, R.ALAMI MAI11637 A.PANDEY, R.ALAMI MAI11654 D.MARQUEZ GAMEZ, M.DEVY MAI11655 A.GONZALEZ, J.M.CODOL, M.DEVY MAI11656 D.MARQUEZ GAMEZ, M.DEVY MAI11657 D.BOTERO GALEANO, M.DEVY, Real-time architecture on FPGA for obstacle J.L.BOIZARD, W.FILALI detection using inverse perspective mapping MAI11678 L.SAAB, O.RAMOS PONCE, N.MANSARD, P.SOUERES, J.Y.FOURQUET L.SAAB, N.MANSARD, F.KEITH, J.Y.FOURQUET, P.SOUERES MAI11679 MAI11722 MAI11735 C.ROUSSILLON, A.GONZALEZ, J.SOLA, J.M.CODOL, N.MANSARD, S.LACROIX, M.DEVY S.LEMAIGNAN, R.ROS ESPINOZA, R.ALAMI, M.BEETZ MAI11738 N.MUHAMMAD, S.LACROIX MAI11739 R.BOUMGHAR, S.LACROIX, O.LEFEBVRE MAI11742 S.LEMAIGNAN, E.A.SISBOT, R.ALAMI MAI11744 S.LEMAIGNAN, R.ROS ESPINOZA, R.ALAMI MAI11745 J.LEE, N.MANSARD, J.PARK MAI11822 J.M.CODOL, M.PONCELET MAI11824 J.M.CODOL, A.MONIN MAI11825 J.M.CODOL, M.PONCELET, A.MONIN, M.DEVY Towards task understanding through multi-state visuo-spatial perspective taking for human-robot interaction Visual modeling from a mobile robot: from image sequences to hierarchical maps and robot trajectories in outdoor environments A C-embedded algorithm for real-time monocular SLAM Visual navigation of communicating vehicles in unknown and changing environment Generic dynamic motion generation multiple unilateral constraints with Generation of dynamic motion for anthropomorphic systems under prioritized equality and inequality constraints RT-SLAM: A generic and real-time visual SLAM implementation International Joint Conference on Artificial Intelligence (IJCAI'11), Barcelone (Espagne), 16-17 Juillet 2011, 6p. Congreso Interamericano de Computacion Aplicada a La Industria de Procesos (CAIP'2011), Girone (Espagne), 30 Mai - 3 juin 2011, 8p. International Conference on Electronics Circuits and Systems (ICECS 2011), Beyrouth (Liban), 1114 Décembre 2011, 4p. International Conference on Electronics Circuits and Systems (ICECS 2011), Beyrouth (Liban), 1114 Décembre 2011, 4p. International Conference on Electronics Circuits and Systems (ICECS 2011), Beyrouth (Liban), 1114 Décembre 2011, 4p. IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS 2011), San Francisco (USA), 25-30 Septembre 2011, 8p. IEEE International Conference on Robotics and Automation (IEEE ICRA 2011), Shanghai (Chine), 913 Mai 2011, pp.1091-1096 International Conference on Computer Vision Systems (ICVS'2011), Sophia Antipolis (France), 2022 Septembre 2011, pp.31-40 What are you talking about? Grounding dialogue IEEE International Symposium on Robot and in a perspective-aware robotic architecture Human Interactive Communication (Ro-MAN 2011), Atlanta (USA), 31 Juillet - 3 Aout 2011, pp.107-112 Loop closure detection using signatures from 3D LIDAR data small-sized IEEE International Symposium on Safety, Security, and Rescue Robotics (SSRR 2011), Kyoto (Japon), 1-5 Novembre 2011, 6p. An information-driven navigation strategy for IEEE International Symposium on Safety, Security, autonomous navigation in unknown and Rescue Robotics (SSRR 2011), Kyoto (Japon), environments 1-5 Novembre 2011, 6p. Anchoring interaction through symbolic ACM/IEEE International Conference on Humanknowledge Robot Interaction (HRI 2011), Lausanne (Suisse), 69 Mars 2011, 3p. Dialogue in situated environments: A symbolic Workshop on Grounding Human-Robot Dialog for approach to perspective-aware grounding, Spatial Tasks, Los Angeles (USA), 1 Juillet 2011, clarification and reasoning for robot 4p. Intermediate desired value approach for IEEE International Conference on Robotics and continuous transition among multiple tasks of Automation (IEEE ICRA 2011), Shanghai (Chine), 9robots 13 Mai 2011, 7p. Safe L1-only RTK navigation for outdoor service European Navigation Conference (ENC 2011), robotic Londres (UK), 29 Novembre - 1 Décembre 2011, 12p. Improved triple difference GPS carrier phase for Statistical Signal Processing Workshop (IEEE RTK-GPS positioning SSP'2011), Nice (France), 28-30 Juin 2011, 6p. Safety robotic lawnmower with precise and low- IEEE/RSJ International Conference on Intelligent cost L1-only RTK-GPS positioning Robots and Systems (IROS 2011), San Francisco (USA), 25-30Septembre 2011, 4p. Scientific Production — 55 MAI11846 MAI11863 MAI11876 MAI11877 MAI12034 MAI12051 MAI12054 MAI12055 MAI12097 MAI12100 MAI12107 MAI12108 MAI12110 MAI12167 MAI12276 MAI12283 MAI12310 MAI12383 MAI12395 MAI12404 MAI12418 MAI12419 MAI12420 N.DANG, F.LAMIRAUX, J.P.LAUMOND A framework for manipulation and locomotion IEEE-RAS International Conference on Humanoid with realtime footstep replanning Robots (HUMANOIDS 2011), Bled (Slovénie), 2628 Octobre 2011, pp.676-681 O.RAMOS PONCE, Tracking in presence of total occlusion and size IEEE/SICE International Symposium on System M.A.MIRZAEI, F.MERIENNE variation using mean shift and kalman filter Integration ( SII ) 2011 du 20 décembre au 22 décembre 2011, Kyoto (Japon), Décembre 2011, 6p. S.BENSALEM, L.DE SILVA, A formal approach for incremental construction International Conference on Software Composition A.GRIESMAYER, F.INGRAND, with an application to autonomous robotic ( SC ) 2011 du 30 juin au 01 juillet 2011, Zurich systems (Suisse), Juin 2011, 16p. A.LEGAY, R.YAN A.CEBALLOS, S.BENSALEM, A goal-oriented autonomous controller for space Symposium on Advanced Space Technologies in A.CESTA, L.DE SILVA, exploration Robotics and Automation ( ASTRA ) 2011 du 12 avril au 14 avril 2011, Noordwijk (Pays Bas), Avril S.FRATINI, F.INGRAND, J.OCON, A.ORLANDINI, K.RAJAN, 2011, 8p. R.RASCONI, M.VAN WINNENDAEL B.MEDEN, F.LERASLE, P.SAYD, Tracking-by-reidentification in a non-overlapping International Conference on Computer Vision C.GABARD fields of view cameras network Theory and Applications (VISAPP 2012), Rome (Italie), 24-26 Février 2012, 9p. S.LEMAIGNAN, G.ECHEVERRIA, Human-Robot interaction in the MORSE ACM/IEEE International Conference on HumanM.KARG, J.MAINPRICE, simulator Robot Interaction (HRI 2012), Boston (USA), 5-8 Mars 2012, 2p. A.KIRSCH, R.ALAMI S.LEMAIGNAN, M.GHARBI, J.MAINPRICE, M.HERRB, R.ALAMI N.PERRIN, O.STASSE, F.LAMIRAUX, Y.J.KIM, D.MANOCHA J.CORTES, I.AL BLUWI Roboscopie: A Theatre Performance for a ACM/IEEE International Conference on HumanHuman and a Robot Robot Interaction (HRI 2012), Boston (USA), 5-8 Mars 2012, 1p. Real-time footstep planning for humanoid robots IEEE International Conference on Robotics and among 3D obstacles using a hybrid bounding Automation (ICRA 2012), St Paul (USA), 14-18 Mai box 2012, pp.977-982 A robotics approach to enhance conformational International Design Engineering Technical sampling of proteins Conference & Computers and Information in Engineering Conference (IDETC/CIE 2012), Chicago (USA), 12-15 Août 2012, 10p. D.POWELL, J.ARLAT, H.CHU, Testing the input timing robustness of real-time European Dependable Computing Conference F.INGRAND, M.O.KILLIJIAN control software for autonomous systems (EDCC 2012), Sibiu (Roumanie), 8-11 Mai 2012, 11p. V.LUNATI, J.MANHES, P.DANES A versatile system-on-a-programmable-chip for Intelligent Robots and Systems ( IROS ) 2012 du array processing and binaural robot audition 07 octobre au 12 octobre 2012, Algarve (Portugal), 2012, pp.998-1003 A.PORTELLO, P.DANES, Active binaural localization of intermittent Intelligent Robots and Systems ( IROS ) 2012 du S.ARGENTIERI moving sources in the presence of false 07 octobre au 12 octobre 2012, Algarve (Portugal), measurements 2012, pp.3294-3299 M.SREENIVASA, P.SOUERES, On using methods from robotics to study human International Conference on Biomedical Robotics Y.NAKAMURA task dependent balance during whole-body and Biomechatronics (BioRob'2012), Rome (Italie), pointing and drawing movements 24-28 Juin 2012, 8p. Q.CAO, S.LACROIX, F.INGRAND Planification d'une mission d'observation par Reconnaissance des Formes et Intelligence allocation de tâches hiérarchiques pour une Artificielle (RFIA 2012), Lyon (France), 24-27 équipe de robots hétérogènes Janvier 2012, 8p. S.HAK, N.MANSARD, O.RAMOS Capture, recognition and imitation of IEEE International Conference on Robotics and PONCE, L.SAAB, O.STASSE anthropomorphic motion Automation (ICRA 2012), St Paul (USA), 14-18 Mai 2012, pp.3539-3540 N.MANSARD A dedicated solver for fast operational-space IEEE International Conference on Robotics and inverse dynamics Automation (ICRA 2012), St Paul (USA), 14-18 Mai 2012, pp.4943-4949 D.GERONIMO, F.LERASLE, A.M. State-driven particle filter for multi-person Advanced Concepts for Intelligent Vision Systems LOPEZ tracking (ACIVS 2012), Brno (République Tchèque), 4-7 Septembre 2012, Paper 105, 12p. A.EL KHOURY, F.LAMIRAUX, Optimal motion planning for humanoid robots IEEE International Conference on Robotics and M.TAIX Automation ( ICRA ) 2013 du 06 mai au 11 mai 2013, Karlsruhe (Allemagne), Mai 2013, 7p. A.DURAND PETITEVILLE, From the general navigation problem to its Workshop on Visual Control of Mobile Robots ( V.CADENAT image based solutions ViCoMoR ) 2012 du 11 octobre au 11 janvier 2013, Vilamoura (Portugal), Octobre 2012, 6p. P.PIERRO, N.MANSARD, Attitude estimation for humanoid robots using IEEE Intelligent Vehicles Conference (IV'12). P.SOUERES, C.A.MONJE, sensorial integration and Extended Kalman Workshop on Perception in Robotics, Madrid Filtering (Espagne), 3 Juin 2012, 6p. C.BALAGUER H.CHAABANI, W.FILALI, Body pixel classification by neural network International Conference on Intelligent Robotics T.SIMON, F.LERASLE and Applications ( ICIRA ) 2012 du 03 octobre au 05 octobre 2012, Montréal (Canada), 2012, 10p. B.MEDEN, F.LERASLE, P.SAYD MCMC supervision for people reidentification in British Machine Vision Conference (BMVC'2012), nonoverlapping cameras Guilford (UK), 3-7 Septembre 2012, 11p. D.BOTERO GALEANO, J.PIAT, FPGA implementation of mono and stereo Conference on Design and Architectures for Signal P.CHALIMBAUD, M.DEVY, inverse perspective mapping for obstacle and Image Processing ( DASIP ) 2012 du 23 detection octobre au 25 octobre 2012, Karlsruhe J.L.BOIZARD (Allemagne), Octobre 2012, 8p. Scientific Production — 56 MAI12421 D.MARQUEZ GAMEZ, M.DEVY MAI12423 K.HENNING KOCH, K.MOMBAUR, P.SOUERES MAI12424 L.SAAB, N.MANSARD, P.SOUERES, J.Y.FOURQUET, M.SREENIVASA, Y.NAKAMURA N.DANG, J.P.LAUMOND, F.LAMIRAUX MAI12484 MAI12512 MAI12654 Active visual-based detection and tracking of moving objects from clustering and classification methods Optimization-based walking generation for humanoid robot Whole-body torques for generating complex movements in humans and humanoids Experiments on whole-body manipulation and locomotion with footstep real-time optimization I.AL BLUWI, M.VAISSET, T.SIMEON, J.CORTES Coarse-grained elastic networks, normal mode analysis and robotics-inspired methods for modeling protein conformational transitions O.RAMOS PONCE, N.MANSARD, Walking on non-planar surfaces using an O.STASSE, P.SOUERES inverse dynamic stack of tasks MAI12713 D.MARQUEZ GAMEZ, M.DEVY Visual trajectory learning and following in unknown routes for autonomous navigation MAI12714 D.BOTERO GALEANO, J.PIAT, M.DEVY, J.L.BOIZARD An FPGA accelerator for multispectral visionbased EKF-SLAM MAI12730 A.DURAND PETITEVILLE, S.DUROLA, V.CADENAT, M.COURDESSES A.A.MEKONNEN, F.LERASLE, A.HERBULOT Management of visual signal loss during image based visual servoing MAI12823 M.WARNIER, J. GUITTON, S.LEMAIGNAN, R.ALAMI When the robot puts itself in your shoes. Managing and exploiting human and robots beliefs MAI12860 K.H.KOCH, K.MOMBAUR, P.SOUERES Studying the effect of different optimization criteria on humanoid walking motions MAI12862 G.ECHEVERRIA, S.LEMAIGNAN, Simulating A.DEGROOTE, S.LACROIX, MORSE M.KARG, P.KOCH, C.LESIRECABANIOLS, S.STINCKWICH MAI12863 C.ROBIN, S.LACROIX MAI12864 A.RAVET, B.VANDEPORTAELE, Autonomous navigation of a UAV based on G.HATTENBERGER, S.LACROIX multimodal integration MAI12868 C.ROUSSILLON, S.LACROIX High rate-localization for high-speed all-terrain robots MAI12870 D.SIDOBRE, W.HE Online task space trajectory generation MAI13044 A.DURAND PETITEVILLE, V.CADENAT, M.COURDESSES Depth estimation to manage visual signal loss during visual servoing with a 3 DOF camera MAI13078 D.DEVAURS, T.SIMEON, J.CORTES Enhancing the transition-based RRT to deal with complex cost spaces MAI13094 M.MANUBENS, D.DEVAURS, L.ROS, J.CORTES Motion planning for 6-D manipulation with aerial towed-cable systems MAI12795 External cameras and a mobile robot for enhanced multi-person tracking complex robotic scenarios with Failure anticipation in pursuit-evasion Scientific Production — 57 Advanced Concepts for Intelligent Vision Systems (ACIVS 2012), Brno (République Tchèque), 4-7 Septembre 2012, paper 176, 12p. International IFAC Symposium on Robot Control (SYROCO'12), Dubrovnick (Croatie), 5-9 Septembre 2012, 8p. International IFAC Symposium on Robot Control (SYROCO'12), Dubrovnick (Croatie), 5-7 Septembre 2012, 6p. IEEE-RAS International Conference on Humanoid Robots ( HUMANOIDS ) 2012 du 29 novembre au 01 décembre 2012, Osaka (Japon), 2012, 6p. Computational Structural Bioinformatics Workshop ( CSBW ) 2012 du 04 octobre au 07 octobre 2012, Philadelphie (USA), 2012, 8p. IEEE-RAS International Conference on Humanoid Robots ( HUMANOIDS ) 2012 du 29 novembre au 01 décembre 2012, Osaka (Japon), 2012, 7p. Workshop on Planning, Perception and Navigation for Intelligent Vehicles ( PPNIV ) 2012 du 07 octobre au 07 octobre 2012, Villamoura (Portugal), 2012, 6p. Workshop on Smart CAmeras for roBOTic applications ( SCaBot ) 2012 du 11 octobre au 11 octobre 2012, Vilamoura (Portugal), Octobre 2012, 6p. European Control Conference ( ECC ) 2013 du 17 juillet au 19 juillet 2013, Zurich (Suisse), Juillet 2013, 7p. International Conference on Computer Vision Theory and Applications ( VISAPP ) 2013 du 21 février au 24 février 2013, Barcelone (Espagne), Février 2013, 4p. IEEE International Symposium on Robot and Human Interactive Communication ( Ro-MAN ) 2012 du 09 septembre au 13 septembre 2012, Paris (France), Septembre 2012, 7p. International Conference on Simulation, Modeling, and Programming for Autonomous Robots ( SIMPAR ) 2012 du 05 novembre au 08 novembre 2012, Tsukuba (Japon), Novembre 2012, 17p. International Conference on Simulation, Modeling, and Programming for Autonomous Robots ( SIMPAR ) 2012 du 05 novembre au 08 novembre 2012, Tsukuba (Japon), Novembre 2012, pp.197208 Robotics Science and Systems ( RSS ) 2012 du 09 juillet au 13 juillet 2012, Sydney (Australie), Juillet 2012, 8p. International Micro Air Vehicle Conference and Flight Competition ( IMAV ) 2012 du 03 juillet au 06 juillet 2012, Braunshweig (Allemagne), Juillet 2012, 1p. International Conference on Communications, Computing and Control Applications ( CCCA ) 2012 du 12 septembre au 14 septembre 2012, Marseille (France), Septembre 2012, 8p. Intelligent Robots and Systems - Workshop on Robot Motion Planning: Online, Reactive, and in Real-time ( IROS ) 2012 du 10 octobre au 10 octobre 2012, Algarve (Portugal), Octobre 2012, 8p. International Workshop IEEE Electronics, Control, Measurement, Signals and their application to Mechatronics ( ECMSM ) 2013 du 24 juin au 26 juin 2013, Toulouse (France), Juin 2013, 6p. IEEE International Conference on Robotics and Automation ( ICRA ) 2013 du 06 mai au 11 mai 2013, Karlsruhe (Allemagne), Mai 2013, pp.41054110 Robotics Science and Systems ( RSS ) 2013 du 24 juin au 28 juin 2013, Berlin (Allemagne), Juin 2013, 8p. MAI13114 MAI13150 MAI13165 MAI13191 MAI13192 MAI13196 MAI13292 MAI13328 MAI13339 MAI13381 MAI13382 MAI13394 MAI13450 MAI13534 MAI13535 MAI13567 MAI13568 MAI13588 MAI13611 MAI13612 W.HE, D.SIDOBRE, R.ZHAO A reactive trajectory controller for manipulation in human robot interaction object International Conference on Informatics in Control, Automation and Robotics ( ICINCO ) 2013 du 29 juillet au 31 juillet 2013, Reykjavik (Islande), Juillet 2013, pp.19-28 M.BENALLEGUE, J.P.LAUMOND, Contribution of actuated head and trunk to IEEE International Conference on Robotics and A.BERTHOZ passive walkers stabilization Automation ( ICRA ) 2013 du 06 mai au 11 mai 2013, Karlsruhe (Allemagne), Mai 2013, 6p. D.DEVAURS, M.VAISSET, A multi-tree approach to compute transition AAAI Conference on Artificial Intelligence T.SIMEON, J.CORTES paths on energy landscape Workshop on Artificial Intelligence and Robotics Methods in Computational Biology ( AAAI AIRMCB ) 2013 du 14 juillet au 18 juillet 2013, Bellevue (USA), Juillet 2013, pp.8-13 N.SALLEM, M.DEVY, S.GEDIKILI, Keypoint detection in RGB-D space International Conference on Computer Vision R.B.RUSU Theory and Applications ( VISAPP ) 2013 du 21 février au 24 février 2013, Barcelone (Espagne), Février 2013, 4p. J.HARVENT, B.COUDRIN, Shape measurement using a New 3D-DIC SEM Annual Conference & Exposition on L.BRETHES, J.J.ORTEU, algorithm that preserves sharp edges Experimental and Applied Mechanics 2013 du 03 M.DEVY juin au 05 juin 2013, Lombard (USA), Juin 2013, 8p. J.R.SANCHEZ LOPEZ, A.MARIN A real-time 3D pose based visual servoing Iberoamerican Conference on Electronics HERNANDEZ, E.PALACIOSimplementation for an autonomous mobile robot Engineering and Computer Science ( CIIECC ) HERNANDEZ, H.V.RIOS manipulator 2013 du 24 avril au 26 avril 2013, San Luis Potosi FIGUEROA, L. F.MARIN URIAS (Mexique), Avril 2013, pp.416-423 A.AOUINA, M.DEVY, A.MARIN Comparison of active sensors for 3D modeling International Conference on Informatics in Control, HERNANDEZ of indoor environments Automation and Robotics ( ICINCO ) 2013 du 29 juillet au 31 juillet 2013, Reykjavik (Islande), Juillet 2013, pp.442-449 A.BIT-MONNOT, C.ARTIGUES, Carpooling : the 2 synchronization points Workshop on Algorithmic Approaches for M.J.HUGUET, M.O.KILLIJIAN shortest paths problem Transportation Modelling, Optimization, and Systems ( ATMOS ) 2013 du 05 septembre au 05 septembre 2013, Sophia Antipolis (France), Septembre 2013, 12p. M.BENALLEGUE, J.P.LAUMOND Metastability for High-Dimensional Walking Robotics Science and Systems ( RSS ) 2013 du 24 Systems on Stochastically Rough Terrain juin au 28 juin 2013, Berlin (Allemagne), Juin 2013, 8p. A.A.MEKONNEN, C.BRIAND, Fast HOG based person detection devoted to a Intelligent Robots and Systems ( IROS ) 2013 du F.LERASLE, A.HERBULOT mobile robot with a spherical camera 03 novembre au 07 novembre 2013, Tokyo (Japon), Novembre 2013, pp.631-637 A.A.MEKONNEN, F.LERASLE, Pareto-front analysis and AdaBoost for person IEEE International Conference on Systems, Man A.HERBULOT detection using heterogeneous features and Cybernetics ( IEEE SMC ) 2013 du 13 octobre au 16 octobre 2013, Manchester (UK), Octobre 2013, 6p. M.MANUBENS, D.DEVAURS, A motion planning approach to 6-D manipulation International Micro Air Vehicle Conference and L.ROS, J.CORTES with aerial towed-cable systems Flight Competition ( IMAV ) 2013 du 17 septembre au 20 septembre 2013, Toulouse (France), Septembre 2013, 8p. M.GARCIA, O.STASSE, Vision-based motion primitives for reactive IEEE-RAS International Conference on Humanoid J.B.HAYET, C.ESTEVES walking Robots ( HUMANOIDS ) 2013 du 15 octobre au 17 JARAMILLO, J.P.LAUMOND octobre 2013, Atlanta (USA), Octobre 2013, pp.274279 A.A.MEKONNEN, F.LERASLE, Person detection with a computation time Advanced Concepts for Intelligent Vision Systems ( A.HERBULOT weighted adaBoost ACIVS ) 2013 du 28 octobre au 31 octobre 2013, Poznan (Pologne), Lecture Notes in Computer Science 8192, Octobre 2013, pp.632-644 A.ORTHEY, O.STASSE Towards reactive whole-body motion planning in IEEE-RAS International Conference on Humanoid cluttered environments by precomputing feasible Robots ( HUMANOIDS ) 2013 du 15 octobre au 17 motion spaces octobre 2013, Atlanta (USA), Octobre 2013, pp.286291 P.PAILLET, R.AUDIGIER, IMM-based tracking and latency control with off- Advanced Concepts for Intelligent Vision Systems ( F.LERASLE, Q.C.PHAM the shelf IP PZT camera ACIVS ) 2013 du 28 octobre au 31 octobre 2013, Poznan (Pologne), Octobre 2013, 12p. J.MASSE, F.LERASLE, M.DEVY, Human motion capture using data fusion of Advanced Concepts for Intelligent Vision Systems ( A.MONIN, O.LEFEBVRE, S.MAS multiple skeleton data ACIVS ) 2013 du 28 octobre au 31 octobre 2013, Poznan (Pologne), Octobre 2013, 12p. A.RAVET, S.LACROIX, Learning to combine multi-sensor information for Intelligent Robots and Systems ( IROS ) 2013 du G.HATTENBERGER, context dependent state estimation 03 novembre au 07 novembre 2013, Tokyo B.VANDEPORTAELE (Japon), Novembre 2013, pp.5221-5226 P.PAILLET, R.AUDIGIER, Perception-prediction-control architecture for IP International Conference on Computer Vision F.LERASLE, Q.C.PHAM pan-tilt-zoom camera through interacting Theory and Applications ( VISAPP ) 2014 du 05 multiple models janvier au 08 janvier 2014, Lisbonne (Portugal), Janvier 2014, 11p. A.DURAND PETITEVILLE, An anticipative reactive control strategy to deal European Control Conference ( ECC ) 2014 du 24 V.CADENAT with unforeseen obstacles during a multi-sensor- juin au 27 juin 2014, Strasbourg (France), Juin based navigation task 2014, 7p. Scientific Production — 58 MAI13639 MAI13671 MAI13672 MAI13674 MAI13675 MAI13677 MAI13678 MAI13732 MAI13753 MAI13786 MAI13795 MAI13798 MAI13799 MAI13800 MAI13801 MAI13802 MAI13803 MAI13816 MAI14052 W.FILALI, J.MASSE, F.LERASLE, Human motion capture using 3D reconstruction IEEE International Conference on Systems, Man J.L.BOIZARD, M.DEVY based on multiple depth data and Cybernetics ( IEEE SMC ) 2013 du 13 octobre au 16 octobre 2013, Manchester (UK), Octobre 2013, 6p. A.PORTELLO, P.DANES, HRTF-based source azimuth estimation and Intelligent Robots and Systems ( IROS ) 2013 du S.ARGENTIERI, S.PLEDEL activity detection from a binaural sensor 03 novembre au 07 novembre 2013, Tokyo (Japon), Novembre 2013, pp.2908-2913 I.MARKOVIC, A.PORTELLO, Active speaker localization with circular Intelligent Robots and Systems ( IROS ) 2013 du P.DANES, I.PETROVIC, likelihoods and bootstrap filtering 03 novembre au 07 novembre 2013, Tokyo (Japon), Novembre 2013, pp.2914-2920 S.ARGENTIERI S.LEMAIGNAN, R.ALAMI Explicit knowledge and the deliberative layer: Intelligent Robots and Systems ( IROS ) 2013 du lessons learned 03 novembre au 07 novembre 2013, Tokyo (Japon), Novembre 2013, pp.5700-5707 A.PANDEY, R.ALAMI Affordance graph: a framework to encode Intelligent Robots and Systems ( IROS ) 2013 du perspective taking and effort affordances for day-03 novembre au 07 novembre 2013, Tokyo to-day human-robot interaction (Japon), Novembre 2013, pp.2180-2187 L.DE SILVA, A.PANDEY, R.ALAMI An interface for interleaved symbolic-geometric Intelligent Robots and Systems ( IROS ) 2013 du planning and backtracking 03 novembre au 07 novembre 2013, Tokyo (Japon), Novembre 2013, pp.232-239 E.STUMM, C.MEI, S.LACROIX Probabilistic place recognition with covisibility Intelligent Robots and Systems ( IROS ) 2013 du maps 03 novembre au 07 novembre 2013, Tokyo (Japon), Novembre 2013, pp.4158-4163 A.FEVRIER, Q.FAUVEL, The Big-Stiquito: an enlarged and faster version IEEE International Conference on Mechatronics ( N.CARBONEL, B.TONDU, of the autonomous stiquito hexapod robot ICM ) 2013 du 27 février au 01 mars 2013, Vincence (Italie), Février 2013, 6p. P.SOUERES G.SAUPIN, O.ROUSSEL, J.LE Robust and scalable navmesh generation with International Conference on Computer Graphics, GARREC multiple levels and stairs support Visualization and Computer Vision ( WSCG ) 2013 du 24 juin au 27 juin 2013, Plzen (République Tchèque), Juin 2013, pp.161-170 B.PHAM, A.O.MALIGO, Absolute map-based localization for a planetary Symposium on Advanced Space Technologies in S.LACROIX rover Robotics and Automation ( ASTRA ) 2013 du 15 mai au 17 mai 2014, Noordwijk (Pays Bas), Mai 2013, 8p. D.MARQUEZ GAMEZ, M.DEVY Active vision-based moving objects detection European Conference on Mobile Robots ( ECMR ) from a motion grid 2013 du 25 septembre au 27 septembre 2013, Barcelone (Espagne), Septembre 2013, 6p. G.MANFREDI, M.DEVY, Multiclass object recognition with an adaptive International Workshop IEEE Electronics, Control, D.SIDOBRE confidence: cascade of weak descriptors for fast Measurement, Signals and their application to hypothesis elimination Mechatronics ( ECMSM ) 2013 du 24 juin au 26 juin 2013, Toulouse (France), Juin 2013, 5p. J.PIAT, D.MARQUEZ GAMEZ, Embedded vision-based SLAM: a model-driven Conference on Design and Architectures for Signal M.DEVY approach and Image Processing ( DASIP ) 2013 du 08 octobre au 10 octobre 2013, Cagliari (Italie), Octobre 2013, 6p. N.SALLEM, M.DEVY Extended GrabCut for 3D and RGB-D point Advanced Concepts for Intelligent Vision Systems ( clouds in advanced ACIVS ) 2013 du 28 octobre au 31 octobre 2013, Poznan (Pologne), Octobre 2013, 12p. A.RUSU, S.MORENO, Towards multi-resolution path planning on-board International Astronautical Congress ( IAC ) 2013 Y.WATANABE, M.ROGNANT, a planetary exploration rover du 23 septembre au 27 septembre 2013, Beijing (Chine), Septembre 2013, 7p. M.DEVY A.RUSU, S.MORENO, Onboard autonomous path planning for a AIAA Guidance, Navigation and Control Y.WATANABE, M.ROGNANT, planetary exploration rover Conference ( AIAA GNC ) 2013 du 19 août au 22 août 2013, Boston (USA), Août 2013, 11p. M.DEVY Z.IRKI, H.BENDAOUI, M.DEVY, FPGA implementation of the V-disparity based Mediterranean Conference on Control and A.KHOUAS obstacles detection approach Automation ( MED ) 2013 du 25 juin au 28 juin 2013, Platanias-chania (Grèce), Juin 2013, 8p. B.TONDU Closed-loop position control of artificial muscles IEEE International Conference on Mechatronics ( with a single integral action: Application to ICM ) 2013 du 27 février au 01 mars 2013, robust positioning of McKibben artificial muscle Vincence (Italie), Février 2013, pp.718-723 Functionalization of PVDF membranes to control International Winterschool on Bioelectronics ( BioEl PVDF – PEDOT/PSS interface strength for ) 2014 du 22 février au 01 mars 2014, Kirchberg increased cycle life of artificial muscles (Autriche), Février 2014, 1p. MAI14089 A.SIMAITE, B.TONDU, R.CLERGEREAUX, E.DESCAMPS, P.SOUERES, C.BERGAUD O.ROUSSEL, M.TAIX, T.BRETL MAI14150 Y.HUANG, P.SOUERES, J.LI MAI14193 M.GARCIA, O.STASSE, J.B.HAYET MAI14218 D.ZELAZO, A.FRANCHI, P.ROBUFFO-GIORDANO Contact dynamics and coupled stability of IEEE International Conference on Robotics and massage compliant robotic arm with Automation ( ICRA ) 2014 du 31 mai au 05 juin impedance controller 2014, Hong Kong (Chine), Mai 2014, 6p. Vision-driven walking pattern generation for IEEE International Conference on Robotics and humanoid reactive walking Automation ( ICRA ) 2014 du 31 mai au 05 juin 2014, Hong Kong (Chine), Mai 2014, 7p. Rigidity Theory in SE(2) for Unscaled Relative European Control Conference ( ECC ) 2014 du 24 Position Estimation using only Bearing juin au 27 juin 2014, Strasbourg (France), Juin Measurements 2014, 6p. Motion planning for a deformable linear object Scientific Production — 59 European Workshop on Deformable Object Manipulation ( EWDOM ) 2014 du 20 mars au 20 mars 2014, Lyon (France), Mars 2014, pp.153-158 MAI14398 O.ALONSO-RAMIREZ, A.MARIN HERNANDEZ, M.DEVY, F.MONTES-GONZALVEZ [MAN] Ref Conferences with published proceedings -‐national-‐ Authors Title MAN09447 K.MOMBAUR, T.TRUONG, J.P.LAUMOND B.COUDRIN, M.DEVY, L.BRETHES, J.J.ORTEU Identifying the objectives of human path generation Modélisation 3D d'objets par un capteur visuel déplacé par un opérateur MAN09490 B.DUCAROUGE, T.SENTENAC, F.BUGARIN, M.DEVY MAN09491 N.SALLEM, M.DEVY MAN09774 MAN10076 F.BUGARIN, D.HENRION, T.SENTENAC, J.B.LASSERRE, J.J.ORTEU D.FLAVIGNE, M.TAIX Rectification projective d'images stéréo non calibrées infrarouges avec prise en compte globale de la minimisation des distorsions Modélisation d'objets 3D en vue de leur reconnaissance et leur manipulation par un robot personnel Optimisation globale polynomiale appliquée à la rectification projective d'images non calibrées MAN10087 C.BERGER, S.LACROIX DSeg: Détection directe de segments dans une image MAN10199 M.FONTMARTY, F.LERASLE, P.DANES MAN10200 T.GERMA, F.LERASLE, N.OUADAH, V.CADENAT, C.LEMAIRE D.FLAVIGNE, M.TAIX Paramétrisation des fonctions de vraisemblance et choix de filtres particulaires pour le suivi visuel Fusion de données visuelles et RFID pour le suivi de personnes en environnement encombré depuis un robot mobile Méthode hybride de planification de trajectoires pour environnement mixte MAN09489 MAN10617 MAN10690 A.E.SOUICI, M.COURDESSES, A.OULDALI, R.CHATILA MAN10848 A.DEGROOTE, S.LACROIX MAN10872 MAN10885 MAN11191 MAN11291 MAN11355 MAN11435 Indoor home furniture detection with RGB-D International Conference on Electronics, data for service robots Communications and Computers ( CONIELECOMP ) 2014 du 26 février au 28 février 2014, Puebla (Mexique), Février 2014, 6p. Méthode hybride de planification de trajectoires pour environnement mixte Full-observability analysis and implementation of the general SLAM model Structuring processes into abilities: an information-oriented architecture for autonomous robots Q.CAO, S.LACROIX, F.INGRAND, Complex tasks allocation for multi robot teams R.ALAMI under communication constraints B.DUCAROUGE, T.SENTENAC, M.DEVY Modélisation d'un objet 3D à partir d'images stéréo infrarouges avec calibrage sur images rectifiées S.HEBIB, S.BOUAZIZ, Antennes lecteur RFID à polarisation circulaire H.AUBERT, F.LERASLE pour application robotique B.MEDEN, P.SAYD, F.LERASLE Mixed-state CONDENSATION pour suivi et réidentification simultanés dans les réseaux de caméras à champs de vue disjoints L.P.BERGE, C.BRIAND, A.DE SysCARE: système de communication et BONNEVAL, M.TAIX, P.TRUILLET, d'assistance robotisée O.LEFEBVRE A.GONZALEZ, M.DEVY, J.SOLA SLAM visuel monoculaire par caméra infrarouge MAN11629 D.BOTERO GALEANO, A.GONZALEZ, M.DEVY Architecture embarquée pour le SLAM monoculaire MAN11638 A.DEGROOTE, S.LACROIX ROAR: une architecture orientée agents pour l'autonomie des robots MAN11666 D.MARQUEZ GAMEZ, M.DEVY MAN11667 A.A.MEKONNEN, F.LERASLE, A.HERBULOT, A.COUSTOU MAN11668 B.MEDEN, P.SAYD, F.LERASLE SLAM visuel avec détection et suivi d'objets mobiles par une approche de segmentation/classification Coopération entre un robot mobile et des caméras d'ambiance pour le suivi multipersonnes Suivi par ré-identification dans un réseau de caméras à champs disjoints Scientific Production — 60 Published in: Congrès Annuel de la Société de Biomécanique, Toulon (France), 31 Août - 2 Septembre 2009, 2p. ORASIS'09 - Congrès des jeunes chercheurs en vision par ordinateur, Trégastel (France), 8-12 Juin 2009, 8p. ORASIS'09 - Congrès des jeunes chercheurs en vision par ordinateur, Trégastel (France), 8-12 Juin 2009, 8p. ORASIS'09 - Congrès des jeunes chercheurs en vision par ordinateur, Trégastel (France), 8-12 Juin 2009, 7p. French Conference on Pattern Recognition and Artificial Intelligence (RFIA 2010), Caen (France), 19-22 Janvier 2010, 8p. 17ème congrès francophone AFRIF-AFIA Reconnaissance des Formes et Intelligence Artificielle (RFIA 2010), Caen (France), 19-22 Janvier 2010, pp.788-795 Reconnaissance des Formes et Intelligence Artificielle (RFIA 2010), Caen (France), 19-22 Janvier 2010, pp.100-108 Reconnaissance des Formes et Intelligence Artificielle (RFIA 2010), Caen (France), 18-22 Janvier 2010, 8p. Reconnaissance des Formes et Intelligence Artificielle (RFIA 2010), Caen (France), 18-22 Janvier 2010, 8p. Reconnaissance des Formes et Intelligence Artificielle (RFIA 2010), Caen (France), 19-22 Janvier 2010, 8p. Portuguese Conference on Automatic Control (CONTROLO 2010), Coimbra (Portugal), 8-10 Septembre 2010, 6p. National Conference on Control Architecture of Robots (CAR 2010), Douai (France), 18-19 Mai 2010, 12p. National Conference on Control Architecture of Robots (CAR 2010), Douai (France), 18-19 Mai 2010, 12p. Reconnaissance des Formes et Intelligence Artificielle (RFIA 2010), Caen (France), 19-22 Janvier 2010, 9p. Journées Nationales Microondes (JNM 2011), Brest (France), 18-20 Mai 2011, 4p. ORASIS 2011, Praz sur Arly (France), 6-10 Juin 2011, 8p. Journées Francophones "Mobilité et Ubiquité 2011" (UBIMOB'11), Toulouse (France), 6-8 Juin 2011, pp.38-41 ORASIS 2011, Praz sur Arly (France), 6-10 Juin 2011, 8p. Reconnaissance des Formes et Intelligence Artificielle (RFIA 2012), Lyon (France), 24-27 Janvier 2012, 8p. Reconnaissance des Formes et Intelligence Artificielle (RFIA 2012), Lyon (France), 24-27 Janvier 2012, 8p. Reconnaissance des Formes et Intelligence Artificielle (RFIA 2012), Lyon (France), 24-27 Janvier 2012, 8p. Reconnaissance des Formes et Intelligence Artificielle (RFIA 2012), Lyon (France), 24-27 Janvier 2012, 8p. Reconnaissance des Formes et Intelligence Artificielle (RFIA 2012), Lyon (France), 24-27 Janvier 2012, 8p. MAN12254 MAN12425 MAN12639 MAN12861 MAN13045 MAN13113 MAN13340 MAN13701 MAN14187 MAN14196 M.TAIX, C.BRIAND, P.TRUILLET, A.DE BONNEVAL, I.FERRANE, P.GRANDJEAN, J.PINQUIER L.SAAB, P.SOUERES, N.MANSARD, J.Y.FOURQUET Aide à la personne dépendante: comment un robot humanoïde NAO peut jouer un rôle principal Generation of human-like motion on anthropomorphic systems using inverse dynamics Vers une gestion des croyances pour la planification Homme - Robot Congrès Annuel de la Société de Bioméchanique 2012 du 16 octobre au 19 octobre 2012, Toulouse (France), 2012, 2p. J. GUITTON, M.WARNIER, Journées Francophones sur la Planification la R.ALAMI Décision et l'Apprentissage pour la conduite de systèmes ( JFPDA ) 2012 du 22 mai au 23 mai 2012, Nancy (France), Mai 2012, 14p. A.DEGROOTE, S.LACROIX Une architecture pour l'autonomie des robots Conférence francophone sur les Architectures basée sur des agents-ressources Logicielles ( CAL ) 2012 du 30 mai au 31 mai 2012, Montpellier (France), Mai 2012, 6p. D.DEVAURS, L.BOUARD, MoMA-LigPath: a web server to simulate protein- Journées Ouvertes en Biologie, Informatique et M.VAISSET, C.ZANON, I.AL ligand unbinding Mathématiques ( JOBIM ) 2013 du 01 juillet au 04 BLUWI, R.IEHL, T.SIMEON, juillet 2013, Toulouse (France), Juillet 2013, pp.163J.CORTES 170 T.MOULARD, F.LAMIRAUX, RobOptim: an optimization framework for The Robotics and Mechatronics Conference ( K.BOUYARMANE, E.YOSHIDA robotics ROBOMEC ) 2013 du 22 mai au 25 mai 2013, Tsukuba (Japon), Mai 2013, 4p. I.AL BLUWI, M.VAISSET, Modeling protein conformational transitions by a Congrès du Groupe de Graphisme et de T.SIMEON, J.CORTES combination of coarse-grained normal mode Modélisation Moléculaire ( GGMM ) 2013 du 21 analysis and robotics-inspired methods mai au 23 mai 2013, St Pierre d'Oléron (France), Mai 2013, 47p. A.PANDEY, A.CLODIC, R.ALAMI Vers des robots socialement intelligents en Conférence III - Intercompréhension de environnement humain l'Intraspécifique à l'Iinterspécifique 2013 du 30 septembre au 01 octobre 2013, Guidel (France), Septembre 2013, 10p. J.MASSE, F.LERASLE, M.DEVY, Capture de mouvements humains par fusion de Reconnaissance de Formes et l'Intelligence A.MONIN, O.LEFEBVRE, S.MAS multiples données squelettes Artificielle ( RFIA ) 2014 du 30 juin au 04 juillet 2014, Rouen (France), Juin 2014, 6p. A.A.MEKONNEN, F.LERASLE, Détection de personnes par apprentissage de Reconnaissance de Formes et l'Intelligence A.HERBULOT, C.BRIAND descripteurs hétérogènes sous des Artificielle ( RFIA ) 2014 du 30 juin au 04 juillet considérations CPU 2014, Rouen (France), Juin 2014, 7p. [MSI] Ref Conferences without proceedings -‐international-‐ Authors Title MSI10883 B.COUDRIN, M.DEVY, J.J.ORTEU, L.BRETHES Registration strategies of 3D images acquired from a hand-held visual sensor MSI11390 R.BOUMGHAR, C.ROUSSILLON, A.DEGROOTE, P.COX, V.DELSART, B.VANDEPORTAELE, M.HERRB, S.LACROIX M.MAMMARELLA, M.AVILES RODRIGALVAREZ, A.M.SANCHEZ MONTERO, B.PHAM, S.LACROIX N.SALLEM, S.GEDIKILI, M.DEVY, R.B.RUSU Over the hill and far away: aerial/ground cooperation for long range navigation MSI11583 MSI12828 MSI14074 MSI14393 Colloque National AIP-PRIMECA, Mont-Dore (France), 28-30 Mars 2012, 10p. Published in: Conference on 3D-Imaging of Materials and Systems 2010 (3D IMS 2010), Hourtin ( France), 610 Septembre 2010, 1p. (Résumé) International Workshop on Robotics for risky interventions and Environmental SurveillanceMaintenance, Louvain (Belgique), 21-24 Juin 2011, 7p. Comparison of optical terrain absolute International Astronautical Congress (IAC 2011), navigation techniques for pinpoint lunar landing Cape Town (Afrique du Sud), 3-7 Octobre 2011, 10p. Depth and color fusion for better corner detection Workshop on Color Depth Fusion in Computer Vision ( ACCV ) 2012 du 06 novembre au 06 novembre 2012, Daejon (Corée), Juin 2013, 12p. R.ALAMI, A.CLODIC, R.CHATILA, Reasoning about humans and its use in a Cognitive Architectures for Human-Robot S.LEMAIGNAN cognitive control architecture for a collaborative Interaction Workshop. ACM/IEEE International robot Conference on Human-Robot Interaction 2014 du 03 mars au 03 mars 2014, Bielefeld (Allemagne), Mars 2014, 4p. J.BLAUERT, D.KOLOSSA, Feedback loops in engineering models of Meeting of the Acoustical Society of America ( ASA P.DANES binaural listening ) 2014 du 05 mai au 09 mai 2014, Providence (USA), Mai 2014, 1p. [MSN] Ref Conferences without proceedings -‐national-‐ Authors Title MSN09298 A.BELBACHIR, F.INGRAND, S.LACROIX Architecture pour la planification et l'exécution 4th National Conference on Control Architectures of d'une flotte de véhicules marins et sous-marins Robots, Toulouse (France), 23-24 Avril 2009, 9p. MSN09299 M.BARBIER, Q.CAO, S.LACROIX, C.LESIRE-CABANIOLS, F.TEICHTEIL-KONIGSBUCH, C.TESSIER F.KEITH, N.MANSARD, S.MIOSSEC, A.KHEDDAR Decision issues for multiple heterogeneous 4th National Conference on Control Architectures of vehicles in uncertain environments Robots , Toulouse (France), 23-24 Avril 2009, 12p. MSN09337 Published in: Optimisation de séquences de tâches avec 4ème Journées Nationales de la Robotique lissage des mouvements Humanoïde, Nantes (France), 5-6 Mai 2009 Scientific Production — 61 MSN10260 J.M.CODOL MSN11627 W.AIT FARES, A.HERBULOT, M.DEVY, E.H.BOUYAKHF, F.REGRAGUI MSN12402 M.TAIX, C.BRIAND, P.TRUILLET, A.DE BONNEVAL, I.FERRANE, J.PINQUIER P.PAILLET, R.AUDIGIER, F.LERASLE, Q.C.PHAM Nao: fundamental support to Master's student Nao Tech Day, Paris (France), 13 Juin 2012, 25p. projects MSN13199 G.MANFREDI, M.DEVY, D.SIDOBRE Accélérer et simplifier la reconnaissance Journées Francophones des Jeunes Chercheurs d'objets avec des descripteurs visuels et en Vision par Ordinateur, ( ORASIS ) 2013 du 10 contextuels simples juin au 14 juin 2013, Cluny (France), Juin 2013, 8p. MSN13658 A.RAVET, S.LACROIX, G.HATTENBERGER Learning to combine multi-sensor information for Conférence Francophone sur l'Apprentissage context dependent state estimation Automatique ( CAP ) 2013 du 03 juillet au 05 juillet 2013, Lille (France), Juillet 2013, 9p. [OAN] Ref Books (author) -‐national-‐ Authors Title Published in: OAN12277 J.P.LAUMOND La robotique : une récidive d'Héphaïstos Les leçons inaugurales du Collège de France, Fayard, N°ISBN 978-2-213-66905-2, Février 2012, 78p. [OPI] Ref Books (contribution) -‐international-‐ Authors Title OPI07007 C.CASENAVE, G.MONTSENY MSN13185 OPI09285 OPI09368 OPI10072 OPI11031 OPI11032 OPI11359 OPI11722 OPI12378 OPI13673 GPS/vision pour la navigation d'un robot autonome Combinaison d'une information locale et globale dans les contours actifs basés région pour la détection et le suivi d'objets en Robotique EDSYS 2010. 11ème Congrès de Doctorants, Toulouse (France), 6-7 Mai 2010, 7p. Congrès des Doctorants EDSYS 2011, Toulouse (France), 10-11 Mai 2011, 6p. Contrôle de caméra Pan-Tilt-Zoom réseau pour Journées Francophones des Jeunes Chercheurs la poursuite d’une cible mobile en Vision par Ordinateur, ( ORASIS ) 2013 du 10 juin au 14 juin 2013, Cluny (France), Juin 2013, 8p. Published in: Optimal identification of delay-diffusive operators and application to the acoustic impedance of absorbent materials Image-based visual servo control design with multi-constraint satisfaction Topics in Time Delay Systems, Springer, N°ISBN 978-3-642-02896-0, Vol.388, Janvier 2009, pp.315325 S.TARBOURIECH, P.SOUERES Visual Servoing via Advanced Numerical Methods G. Chesi and K. Hashimoto (Eds.), Lecture Notes in Control and Information Sciences, Vol. 401, Springer-Verlag, Juin 2010, Chapter 15, pp.275294 P.DANES, D.F.COUTHINO, Multicriteria analysis of visual servos through Visual Servoing via Advanced Numerical Method, S.DUROLA rational systems, biquadratic Lyapunov N°ISBN 978-1-84996-088-5, 2010, pp.169-188 functions, and LMIs F.LAMIRAUX, O.LEFEBVRE Sensor-based trajectory deformation: application Visual Servoing via Advanced Numerical Methods, to reactive navigation of nonholonomic robots Lecture Notes in Control and Information Sciences, Vol. 401, Springer, 422p, N°ISBN 978-1-84996-0885, Juillet 2010, pp.315-334 C.PARRA, M.DEVY, C.OTALORA Robot for non-conventional demining process: Using Robots in Hazardous Environments: from remote control to autonomy Landmine Detection, De-Mining and Other Applications, N°ISBN 1-84569-786-3, Juin 2011, 56p. M.DEVY, J.L.BOIZARD, Stereovision algorithm to be executed at 100Hz Advances in Theory and Applications of Stereo D.BOTERO GALEANO, on a FPGA-based architecture Vision, N°978-953-307-516-7, Janvier 2011, H.D.CARILLO LINDADO, Chapter 17, pp.327-352 M.IBARRA MANZANO, Z.IRKI, A.NAOULOU , P.LACROIX, P.FILLATREAU, J.Y.FOURNIOLS, C.PARRA D.ALMANZA-OJEDA, M.DEVY, Active method for mobile object detection from Informatics in Control, Automation and Robotics, A.HERBULOT an embedded camera, based on a contrario Juin 2011, Part II, pp.267-281 clustering C.ROUSSILLON, A.GONZALEZ, RT-SLAM: A generic and real-time visual SLAM Computer Vision Systems, Lecture Notes in J.SOLA, J.M.CODOL, implementation Computer Science, 6962, Springer, 222p., N°ISBN N.MANSARD, S.LACROIX, 978-3-642-23967-0, Septembre 2011, pp.31-40 M.DEVY D.SIDOBRE, X.BROQUERE, Human robot interaction Advanced Bimanual Manipulation. Results from the J.MAINPRICE, E.BURATTINI, DEXMART project, B.Siciliano (Ed.), Springer, 266p., N°ISBN 978-3-642-29040-4, Juin 2012, A.FINZI, S.ROSSI, M.STAFFA pp.123-172 S.ARGENTIERI, A.PORTELLO, Binaural Systems in Robotics The Technology of Binaural Listening, Springer, M.BERNARD, P.DANES, B.GAS J.Blauert (Eds); 511p.; Series: Modern Acoustics and Signal Processing, N°ISBN 978-3-642-377624, Janvier 2013, Chapitre 9, pp.225-253 Scientific Production — 62 [OPN] Ref Books (contribution) -‐national-‐ Authors Title OPN13108 M.GHALLAB, F.INGRAND OPN14262 J.P.LAUMOND [RII] Ref Guest editor -‐international-‐ Authors Title RII13752 J.P.LAUMOND, D.MANOCHA [RVSI] Ref Scientific Journals -‐international-‐ Authors Title RVSI06602 J.HIMMELSTEIN, E.FERRE, J.P.LAUMOND A.KIBANGOU, G.FAVIER RVSI07532 RVSI08057 RVSI08421 RVSI08474 RVSI08525 RVSI08637 RVSI08732 RVSI09074 RVSI09192 RVSI09243 RVSI09261 RVSI09297 RVSI09334 RVSI09345 RVSI09423 RVSI09424 RVSI09425 RVSI09427 RVSI09447 RVSI09464 Published in: Intelligence artificielle et robotique l'I.A. frontières et Applications, volume 3, Collection Panorama de l'Intelligence Artificielle, Janvier 2014, Chap. 3.8, 49p. Robotique et simplexité : vers un génie de Complexité simplexité, Sous la direction l’action encorporée d'A.Berthoz et J.L.Petit, Collège de France, N°ISBN 9782722603301, Mars 2014, Chapitre IV : Une robotique biométrique, 16p. Published in: Editorial International Journal of Robotics Research, Août 2013, Vol.32, pp.989-990 Published in: Swept volume approximation of polygon soups IEEE Transactions on Automation Science and Engineering, Vol.7, N°1, pp.177-183, Janvier 2010 Blind equalization of nonlinear channels using Signal Processing: Image Communication, Vol.89, tensor decompositions with code/space/time N°2, pp.133-143, Février 2009 diversities J.CORTES, S.BARBE, M.ERARD, Encoding molecular motions in voxel maps IEEE/ACM Transactions on Computational Biology T.SIMEON and Bioinformatics, Vol.8, N°2, pp.557-563, Mars 2011 C.CASENAVE, E.MONTSENY, Identification of nonlinear dynamic models of Control Engineering Practice, Vol.18, N°8, pp.954H.CAMON electrostatically actuated MEMS 969, Août 2010 A.KIBANGOU, G.FAVIER Identification of Parallel-Cascade Wiener IEEE Signal Processing Letters, Vol.16, N°3, Systems using joint diagonalization of third- pp.188-191, Mars 2009 order Volterra kernel slices L.BRETHES, F.LERASLE, Particle filtering strategies for data fusion Machine Vision and Applications, Vol.21, N°2, P.DANES, M.FONTMARTY dedicated to visual tracking from a mobile robot pp.427-448, Juin 2010 E.YOSHIDA, J.P.LAUMOND, Motion autonomy for humanoids: experiments Computer Animation and Virtual Worlds, Vol.20, C.ESTEVES JARAMILLO, on HRP-2 N° 14 N°5-6 , pp.511-522, Septembre 2009 O.KANOUN, A.MALLET, T.SAKAGUCHI, K.YOKOI E.YOSHIDA, M.POIRIER, Pivoting based manipulation by a humanoid Autonomous Robots, Vol.28, N°1, pp.77-88, 2010 J.P.LAUMOND, O.KANOUN, robot F.LAMIRAUX, R.ALAMI, K.YOKOI T.GERMA, F.LERASLE, T.SIMON Video-based face regognition and tracking from International Journal of Pattern Recognition and a robot companion Artificial Intelligence, Vol.23, N°3, pp.591-616, Mai 2009 K.MOMBAUR, J.P.LAUMOND, An optimal control based formulation to Advanced Robotics, Vol.24, N°4, pp.515-535, 2010 E.YOSHIDA determine natural locomotor paths for humanoid robots L.JAILLET, J.CORTES, T.SIMEON Cost based planning in general CSpaces IEEE Transactions on Robotics, Vol.6, N°4, pp.635646, Juin 2010 S.BENSALEM, M.GALLIEN, Designing autonomous robots. Toward a More IEEE Robotics & Automation Magazine, Vol.16, F.INGRAND, I.KAHLOUL, TDependable Software Architecture N°1, Mars 2009 H.NGUYEN S.JOYEUX, R.ALAMI, A plan manager for multi-robot systems International Journal of Robotics Research, Vol.28, S.LACROIX, R.PHILIPPSEN N°2, pp.220-240, Février 2009 H.WANG, Y. CHEN, P.SOUERES A geometric algorithm to compute time-optimal IEEE Transaction on Robotics, Vol.25, N°2, Février trajectories for a bidirectional steered robot 2009 R.ALAMI, F.GRAVOT, S.CAMBON A Hybrid Approach to Intricate Motion, The International Journal of Robotics Research, Manipulation and Task Planning Vol.28, N°1, pp.104-126, Janvier 2009 P.F.DOMINEY, A.MALLET, Real-time spoken language programming for International Journal of Humanoid Robotics, Vol.6, E.YOSHIDA cooperative interaction with a humanoid N°2, pp.147-171, 2009 apprentice K.MOMBAUR, M.SCHEINT, Optimal control and design of bipedal robots Automatisierungstechnik, Vol.57, N°7, pp.349-359, M.SOBOTKA with compliance Juillet 2009 K.MOMBAUR, T.TRUONG, From human to humanoid locomotion. An Autonomous Robots, Vol.28, N°3, pp.369-383, J.P.LAUMOND inverse optimal control approach 2010 J.KOSCHORRECK, K.MOMBAUR Modeling and optimal control of human platform Optimization and Engineering, Vol.13, N°1, pp.29diving with somersaults and twists 56, Janvier 2012 K.MOMBAUR, T.TRUONG, Identifying the objectives of human path Computer Methods in Biomechanics and J.P.LAUMOND generation Biomedical Engineering, Vol.12, N°Suppl 1, pp.189191, Août 2009 M.FONTMARTY, P.DANES, Evaluation of particle filter based human motion International Journal of Pattern Regognition and F.LERASLE visual trackers dor home environment Artificial Intelligence, Vol.23, N°7, pp.1333-1368, surveillance 2009 Scientific Production — 63 RVSI09625 J.BONNAL, S.ARGENTIERI, The EAR Project P.DANES, J.MANHES, P.SOUERES, M.RENAUD RVSI09670 A.C.MALTI, F.LAMIRAUX, M.TAIX A General Framework for Planning LandmarkBased Motions for Mobile Robots RVSI09694 V.LAFAQUIERE, S.BARBE, Control of Lipase Enantioselectivity by S.PUECH-GUENOT, Engineering the Substrate Binding Site and Access Channel D.GUIEYSSE, J.CORTES, P.MONSAN, T.SIMEON, I.ANDRE, M.REMAUD-SIMEON RVSI09911 B.BOUNAB, A.LABED, Stochastic Optimization Based Approach for D.SIDOBRE Multifigered Grasps Synthesis RVSI09948 V.N.KROVI, M.GOLDFARB, Introduction to the focused section on J.P.LAUMOND anthropomorphism in mechatronic systems RVSI09977 N.MANSARD, A.REMAZEILLES, Continuity of varying-feature-set control laws F.CHAUMETTE RVSI10002 V.CADENAT, D.FOLIO, A comparaison of two sequencing techniques to A.DURAND PETITEVILLE perform a vision-based navigation task in a cluttered environment RVSI10071 J.SOLA, T.VIDAL CALLEJA, Impact of landmark parametrization on J.CIVERA monocular EKF-SLAM with points and lines RVSI101009 A.KIRSCH, T.KRUSE, Plan-based control of joint human-robot E.A.SISBOT, R.ALAMI, activities M.LAWITZKI, D.BRSCIC, S.HIRCHE, P.BASILI, S.GLASAUER RVSI10131 J.CORTES, D.LE, R.IEHL, Simulating ligand-induced conformational T.SIMEON changes in proteins using a mechanical disassembly method RVSI10323 C.CASENAVE, G.MONTSENY Identification and state realization of non-rational convolutive models by means of diffusive representation RVSI10536 C.CASENAVE Time-local formulation and identification of implicit volterra models by means of diffusive representation RVSI10545 C.CASENAVE, G.MONTSENY, Identification of dynamic nonlinear thermal H.CAMON, F.BLARD transfers for precise correction of bias induced by temperature variations RVSI10559 N.OUADAH, V.CADENAT, A multi-sensor-based control strategy for F.LERASLE, M.HAMERLAIN, initiating and maintaining interaction between a robot and a human T.GERMA, F.BOUDJEMA RVSI10612 T.GERMA, F.LERASLE, Vision and RFID data fusion for tracking people N.OUADAH, V.CADENAT in crowds by a mobile robot RVSI10616 O.KANOUN, J.P.LAUMOND, Planning foot placements for a humanoid robot: E.YOSHIDA a problem of inverse kinematics RVSI10682 RVSI10704 RVSI10818 RVSI10829 RVSI10840 RVSI10882 RVSI10932 RVSI10986 RVSI11019 RVSI11047 RVSI11079 Journal of the Robotics Society of Japan (RSJ), Vol.28, N°1, pp.10-13, Janvier 2010, Special issue "Robot Audition" Advanced Robotics, Vol.25, N°11-12, pp.14271450, Novembre 2011 ChemBioChem, Vol.10, pp.2760-2771, Octobre 2009 Robotica, pp.1-12, Juillet 2010, doi / 10.1017/S02635574709990889 IEEE/ASME Transactions on Mechatronics , Vol.14, N°6, pp.641-646, Décembre 2009 IEEE Transactions on Automatic Control, Vol.54, N°11, pp.2493-2505, Novembre 2009 Advanced Robotics, Vol.26, N°5-6, pp.487-514, Mars 2012 International Journal of Computer Vision, Vol.97, N°3, pp.339-368, Mai 2012 Künstliche Intelligenz, Vol.24, N°3, pp.223-231, Septembre 2010 Physical Chemistry Chemical Physics, Vol.12, N°29, pp.8268-8276, Août 2010 IET Control Theory & Applications, Vol.5, N°7, pp.934-943, Mai 2011 Automatica, Vol.47, N°10, pp.2273-2278, Octobre 2011 Microsystem Technologies, Vol.17, N°4, pp.645654, Avril 2011 Advanced Robotics, Vol.25, N°9-10, pp.1249-1270, Juillet 2011 Computer Vision and Image Understanding, Vol.114, N°6, pp.641-654, Juin 2010 International Journal of Robotics Research, Vol.30, N°4, pp.476-485, Avril 2011, doi:10.1177/0278364910371238 Relaxation of amorphous multichain polymer Polymer, Vol.51, N°17, pp.4008-4014, Août 2010 systems using inverse kinematics J.CORTES, S.CARRION, D.CURCO, M.RENAUD, C.ALEMAN E.A.SISBOT, L. F.MARIN URIAS, X.BROQUERE, D.SIDOBRE, R.ALAMI T.BRETL, G.ARECHAVALETASERVIN, A.AKCE, J.P.LAUMOND Synthesizing robot motions adapted to human International Journal of Social Robotics, Vol.2, N°3, presence pp.329-343, Novembre 2010 A.S.RATH, D.DEVAURS, S.N.LINDSTAEDT S.JOYEUX, F.KIRCHNER, S.LACROIX Y.RAOUI, E.H.BOUYAKHF, M.DEVY An ontology-based approach for detecting knowledge intensive tasks Managing plans: integrating deliberation and reactive execution schemes Image indexing for object recognition and content based image retrieval W.SULEIMAN, F.KANEHIRO, E.YOSHIDA, A.MONIN, J.P.LAUMOND J.M.PFLIMLIN, P.BINETTI, P.SOUERES, T.HAMEL, D.TROUCHET Y.LI, J.CORTES, T.SIMEON Comments on " an optimality principle governing IEEE Transactions on Robotics, Vol.26, N°6, human walking" pp.1105-1106, Décembre 2010 Journal of Digital Information Management, Vol.9, N°1, pp.9-18, Mai 2011 Robotics and Autonomous Systems, Vol.58, N°9, pp.1057-1066, Septembre 2010 International Journal of Research and Reviews in Computer Science (IJRRCS), Vol.1, N°4, pp.139148, Décembre 2010 Time parameterization of humanoid-robot paths IEEE Transactions on Robotics, Vol.26, N°3, pp.458-468, Mai 2010 Modeling and attitude control analysis of a Control Engineering Practice, Vol.18, N°3, pp.209ducted-fan micro aerial vehicle 218, Mars 2010 Enhancing systematic protein-protein docking methods using ray casting: application to ATTRACT P.MENEZES, F.LERASLE, J.DIAS Towards human motion capture from a camera mounted on a mobile robot S.DALIBARD, J.P.LAUMOND Linear dimensionality reduction in random motion planning Scientific Production — 64 Proteins: Structure, Function, and Bioinformatics, Vol.79, N°11, pp.3037-3049, Novembre 2011 Image and Vision Computing, Vol.29, N°6, pp.382393, Mai 2011 International Journal of Robotics Research, Vol.30, N°12, pp.1461-1476, Octobre 2011 RVSI11097 RVSI11247 RVSI11260 RVSI11261 RVSI11306 RVSI11363 RVSI11391 RVSI11482 RVSI11521 RVSI11529 RVSI11582 RVSI11625 RVSI11690 RVSI11697 RVSI11707 RVSI11763 RVSI11802 RVSI11875 RVSI11900 RVSI11901 RVSI12014 RVSI12035 RVSI12067 RVSI12082 RVSI12111 RVSI12146 RVSI12166 RVSI12172 RVSI12215 B.COUDRIN, M.DEVY, J.J.ORTEU, L.BRETHES J.MOSKALIUK, A.S.RATH, D.DEVAURS, N.WEBER, S.N.LINDSTAEDT, J.KIMMERLE, U.CRESS Y.RAOUI, E.H.BOUYAKHF, M.DEVY, F.REGRAGUI Y.RAOUI, E.H.BOUYAKHF, M.DEVY, F.REGRAGUI S.BARBE, J.CORTES, T.SIMEON, P.MONSAN, M.REMAUDSIMEON, I.ANDRE O.KANOUN, F.LAMIRAUX, P.B.WIEBER An innovative hand-held vision-based digitizing system for 3D modelling Automatic detection of accommodation steps as an indicator of knowledge maturing Optics and Laser in Engineering, Vol.49, N°9-10, pp.1168-1176, Septembre 2011 Interacting with Computers, Vol.23, N°3, pp.247255, Mai 2011 Metrical mapping and self localization with RFID technology and visual landmarks Global and local image descriptors for content based image retrieval and object recognition A mixed molecular modelling. Robotics approach to investigate lipase large molecular motions Kinematic control of redundant manipulators: generalizing the task priority framework to inequality tasks T.VIDAL CALLEJA, C.BERGER, Large scale multiple robot visual mapping with J.SOLA, S.LACROIX heterogeneous landmarks in semi-structured terrain L.JAILLET, F.JCORCHO, Randomized tree construction algorithm to J.J.PEREZ, J.CORTES explore energy landscapes J.SAUT, D.SIDOBRE Efficient models forgrasp planning with a multifingered hand V.RUIZ DE ANGULO, J.CORTES, Rigid-CLL: avoiding constant-distance J.M.PORTA computations in cell linked-lists algorithms Applied Mathematical Sciences, Vol.5, N°42, pp.2137-2157, Mai 2011 Applied Mathematical Sciences, Vol.5, N°42, pp.2109-2136, Mai 2011 Proteins: Structure, Function, and Bioinformatics, Vol.79, N°8, pp.2517-2529, Août 2011 R.MURRIETA-CID, U.RUIZ, Tracking an omnidirectional evader with a J.L.MARROQUIN, J.P.LAUMOND, differential drive robot S.HUTCHINSON B.PHAM, S.LACROIX, M.DEVY Vision-based absolute navigation for descent and landing B.BURGER, I.FERRANE, Two-handed gesture recognition and fusion with F.LERASLE, G.INFANTES speech to command a robot S.DALIBARD, A.EL KHOURY, Dynamic Walking and Whole-Body Motion F.LAMIRAUX, A.NAKHAEI Planning for Humanoid Robots: an Integrated Approach SARVEDANI, M.TAIX, J.P.LAUMOND M.TAIX, M.T.TRAN, P.SOUERES, Generating human-like reaching movements E.GUIGON with a humanoid robot: a computational approach M.RASCHKE, K.MOMBAUR, An optimization-based robot platform for the A.SCHUBERT generation of action paintings G.INFANTES, M.GHALLAB, Learning the behavior model of a robot F.INGRAND S.BENSALEM, L.DE SILVA, A verifiable and correct-by-construction F.INGRAND, R.YAN controller for robot functional levels Z.IRKI, M.DEVY A Novel algorithm to perform precalculated tables for the real-time image processing: illustration with image rotation F.DEHAIS, E.A.SISBOT, R.ALAMI, Physiological and subjective evaluation of a M.CAUSSE human-robot object hand-over task L.SAAB, O.RAMOS PONCE, Dynamic whole-body motion generation under F.KEITH, N.MANSARD, rigid contacts and other unilateral constraints P.SOUERES, J.Y.FOURQUET K.MOMBAUR, T.STEIN Numerical optimization as a tool for sports science I.AL BLUWI, T.SIMEON, Motion planning algorithms for molecular J.CORTES simulations: a survey D.DEVAURS, A.S.RATH, Exploiting the user interaction context for S.N.LINDSTAEDT automatic task detection M.SREENIVASA, P.SOUERES, Walking to grasp: modeling of human J.P.LAUMOND movements as invariants and an application to humanoid robotics M.TAIX, D.FLAVIGNE, E.FERRE Human interaction with motion planning algorithm N.PERRIN, O.STASSE, Fast humanoid robot collision-free footstep L.BAUDOUIN, F.LAMIRAUX, planning using swept volume approximations E.YOSHIDA M.LENCZNER, G.MONTSENY, Diffusive realizations for solutions of some Y.YAKOUBI operator equations : the one-dimensional G.PAES, J.CORTES, T.SIMEON, Thumb-loops up for catalysis: a M.J.O'DONOHUE, V.TRAN structure/function investigation of a functional loop movement in a GH11 xylanase Scientific Production — 65 IEEE Transactions on Robotics, Vol.27, N°4, pp.785-792, Juin 2011 Robotics and Autonomous Systems, Vol.59, N°9, pp.654-674, Septembre 2011 Journal of Computational Chemistry, Vol.32, N°16, pp.3464-3474, Décembre 2011 Robotics and Autonomous Systems, Vol.60, N°3, pp.347-357, Mars 2012 Journal of Computational Chemistry, Vol.33, N°3, pp.294-300, Janvier 2012, DOI: 10.1002/jcc.21978 Autonomous Robots, Vol.31, N°4, pp.345-366, Novembre 2011 Journal of Field Robotics, Vol.29, N°4, pp.627-647, Juillet 2012 Autonomous Robots, Vol.32, N°2, pp.129-147, Janvier 2012, DOI: 10.1007/s10514-011-9263-y International Journal of Robotics Research, Vol.32, N°9-10, pp.1089-1103, Août 2013 Journal of Computational Science, Vol.4, N°4, pp.269-284, Juillet 2013 International Journal of Arts and Technologies, Vol.4, N°2, pp.181-195, Avril 2011 Autonomous Robots, Vol.30, N°2, pp.157-177, Février 2011 Journal of Software Engineering Robots, Vol.2, N°1, pp.1-19, Septembre 2011 Advances in electrical and computer engineering, Vol.11, N°4, pp.71-76, Novembre 2011 Applied Ergonomics, Vol.42, N°6, pp.785-791, Novembre 2011 IEEE Transactions on Robotics, Vol.29, N°2, pp.346-362, Avril 2013 Science & Motricité, Vol.75, pp.7-18, Février 2012 Computer Science Review, Vol.6, N°4, pp.125-143, Juillet 2012 Applied Artificial Intelligence, Vol.26, N°1-2, pp.5880, Janvier 2012 IEEE Transactions on Systems, Man and Cybernetics, Part A, Vol.42, N°4, pp.880-893, Juillet 2012 Journal of Intelligent & Robotic Systems, Vol.67, N°3-4, pp.285-306, Septembre 2012 IEEE Transactions on Robotics, Vol.28, N°2, pp.427-439, Avril 2012 Mathematics of Computation, Vol.81, N°277, pp.319-344, Janvier 2012 Computational and Structural Biotechnology Journal, Vol.1, N°2, e201207001p., Juillet 2012 RVSI12257 S.HAK, N.MANSARD, O.STASSE, Reverse control J.P.LAUMOND recognition RVSI12425 L.SAAB, P.SOUERES, N.MANSARD, J.Y.FOURQUET RVSI12779 J.LEE, N.MANSARD, J.PARK RVSI12794 RVSI12857 A.ESCANDE, N.MANSARD, P.B.WIEBER T.ABDELLATIF, S.BENSALEM, J.COMBAZ, L.DE SILVA, F.INGRAND A.BELBACHIR, F.INGRAND, S.LACROIX E.A.SISBOT, R.ALAMI RVSI12867 B.TONDU RVSI12877 S.LALLEE, U.PATTACINI, S.LEMAIGNAN, A.LENZ, C.MELHUISH, L.NATALE, S.SKACHEK, K.HAMANN, J.STEINWENDER, E.A.SISBOT, G.METTA, J.GUITTON, R.ALAMI, M.WARNIER, T.PIPE, F.WARNEKEN, P.F.DOMINEY D.DEVAURS, L.BOUARD, MoMA-LigPath: a web server to simulate protein-Nucleic Acids Research, Vol.41, N°W1, pp.W297M.VAISSET, C.ZANON, I.AL ligand unbinding W302, Juillet 2013 BLUWI, R.IEHL, T.SIMEON, J.CORTES A.MONIN Modal trajectory estimation using maximum IEEE Transactions on Automatic Control, Vol.58, Gaussian mixture N°3, pp.763-768, Mars 2013 D.DEVAURS, T.SIMEON, Parallelizing RRT on large-scale distributed- IEEE Transactions on Robotics, Vol.29, N°2, J.CORTES memory architectures pp.571-579, Avril 2013 F.INGRAND, M.GHALLAB Robotics and artificial intelligence: a perspective AI Communications, Vol.27, N°1, pp.63-80, Janvier on deliberation functions 2014 J.HARVENT, B.COUDRIN, Multi-view dense 3D modelling of untextured Machine Vision and Applications, Vol.24, N°8, L.BRETHES, J.J.ORTEU, objects from a moving projector-cameras pp.1645-1659, Novembre 2013 M.DEVY system I.ZURIARRAIN, A.A.MEKONNEN, Tracking-by-detection of multiple persons by a Machine Vision and Applications, Vol.24, N°8, F.LERASLE, N.ARANA resample-move particle filter pp.1751-1765, Novembre 2013, DOI 10.1007/s00138-013-0534-9 I.AL BLUWI, M.VAISSET, Modeling protein conformational transitions by a BMC Structural Biology, Vol.13, N°Suppl 1, 14p., T.SIMEON, J.CORTES combination of coarse-grained normal mode Novembre 2013 analysis and robotics-inspired methods A.A.MEKONNEN, F.LERASLE, Cooperative passers-by tracking with a mobile Computer Vision and Image Understanding, A.HERBULOT robot and external cameras Vol.117, N°10, pp.1229-1244, Octobre 2013 P.F.ALCANTARILLA, O.STASSE, How to localize humanoids with a single Autonomous Robots, Vol.34, N°1-2, pp.47-71, S.DRUON, L.M.BERGASA, camera? Janvier 2013 F.DELLAERT M.TAIX, P.SOUERES Using the humanoid robotics framework for Computer Methods in Biomechanics and testing different plausible control architectures of Biomedical Engineering, Supplément n° 1, Vol.16, human reaching movements pp.175-176, Juillet 2013 O.RAMOS PONCE, N.MANSARD, An advanced robotics motion generation Computer Methods in Biomechanics and O.STASSE, P.SOUERES framework for inferring the organisation of Biomedical Engineering, Supplément n° 1, Vol.16, human movements pp.177-178, Juillet 2013 B.TONDU A zonotope-based approach for manipulability International Journal of Humanoid Robotics, Vol.10, study of redundant robot limbs N°3, 1350023p., Août 2013 W.AIT FARES, E.H.BOUYAKHF, Hybrid region and interest points-based active Applied Mathematical Sciences, Vol.7, N°118, A.HERBULOT, F.REGRAGUI, contour for object tracking pp.5879-5899, Janvier 2013 M.DEVY A.PANDEY, M.ALI, R.ALAMI Towards a task-aware proactive sociable robot International Journal of Social Robotics, Vol.5, N°2, based on multi-state perspective-taking pp.215-236, Avril 2013 T.KRUSE, A.PANDEY, R.ALAMI, Human-aware robot navigation: A survey Robotics and Autonomous Systems, Vol.61, N°12, A.KIRSCH pp.1726-1743, Décembre 2013 B.TONDU Use of textile friction to mimic Hill's model in Advances in Science and Technology, Vol.84, pp.39dynamic contraction of braided artificial muscles 44, Janvier 2013 RVSI12821 RVSI12822 RVSI13045 RVSI13075 RVSI13093 RVSI13126 RVSI13194 RVSI13307 RVSI13340 RVSI13380 RVSI13623 RVSI13707 RVSI13708 RVSI13751 RVSI13797 RVSI13804 RVSI13805 RVSI13806 RVSI13821 RVSI14194 N.PERRIN, O.STASSE, F.LAMIRAUX, E.YOSHIDA O.RAMOS PONCE, M.GARCIA, N.MANSARD, O.STASSE, J.B.HAYET, P.SOUERES for humanoid robot task IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics, Vol.42, N°6, pp.1524-1537, Décembre 2012, DOI 10.1109/TSMCB.2012.2193614 Generation of human-like motion on Computer Methods in Biomechanics and anthropomorphic systems using inverse Biomedical Engineering, Supplément 1, Vol.15, dynamics pp.156-158, Septembre 2012 Intermediate desired value approach for task IEEE Transactions on Robotics, Vol.28, N°6, transition of robots in kinematic control pp.1260-1277, Décembre 2012 Hierarchical quadratic programming: Fast online International Journal of Robotics Research, Vol.33, humanoid-robot motion generation N°7, pp.1006-1028, Juin 2014 Rigorous design of robot software: A formal Robotics and Autonomous Systems, Vol.60, N°12, component-based approach pp.1563-1578, Décembre 2012 A cooperative architecture for target localization Intelligent Service Robotics, Vol.5, N°2, pp.119-132, using multiple AUVs Avril 2012 A human-aware manipulation planner IEEE Transactions on Robotics, Vol.28, N°5, pp.1045-1057, Octobre 2012 Anthropomorphism and service humanoid Industrial Robot: An International Journal, Vol.39, robots: an ambiguous relationship N°6, 12p., Novembre 2012 Towards a platform-independent cooperative IEEE Transactions on Autonomous Mental human robot interaction system: III an Development, Vol.4, N°3, pp.239-253, Septembre architecture for learning and executing actions 2012 and shared plans Humanoid motion generation and swept volumes: theoretical bounds for safe steps Towards reactive vision-guided walking on rough terrain: an inverse-dynamics based approach Scientific Production — 66 Advanced Robotics, Vol.27, N°14, pp.1045-1058, Juin 2013 International Journal of Humanoid Robotics, Vol.11, N°2, 1441004p., Juin 2014 RVSI14395 W.AIT FARES, E.H.BOUYAKHF, F.REGRAGUI, A.HERBULOT, M.DEVY A robust region-based active contour for object Pattern Recognition and Image Analysis, Vol.24, segmentation in heterogeneous case N°1, pp.24-35, Mars 2014 [RVSN] Ref Scientific Journals -‐national-‐ Authors Title RVSN11668 B.MEDEN, P.SAYD, F.LERASLE RVSN13379 A.A.MEKONNEN, A.HERBULOT, F.LERASLE [RVV] Ref popular Journals Authors RVV 12876 M.DEVY RVV 12878 M.DEVY Published in: Suivi par ré-identification dans un réseau de Traitement du Signal, Vol.29, N°3-4-5, pp.283-305, caméras à champs disjoints Juin 2012 Coopération entre perception déportée et Revue d'Intelligence Artificielle, Vol.27, N°1, pp.65embarquée sur un robot guide pour l’aide à sa 93, Janvier 2013 navigation Title Published in: La co-botique: des robots industriels aux robots assistants, coopréants et co-opérateurs La robotique: des modèles mathématiques et des bases de connaissances pour concevoir des machines "intelligentes" Annales des Mines - Réalités industrielles, N°2012/1, pp.76-85, Février 2012 Tangente, Vol.148, 9p., Septembre 2012, Papier invité Scientific Production — 67 DO theme : Decision and Optimization Papers in collaboration with other themes Theme nb papers ref GE MAN12437, RVSI09554, RVSI11601, RVSI12460, RVSI12660, RVSN09153 6 Rob 8 MAI13328, MAI13381, MAN09774, MAN11355, MAN12254, MAN14196, MSN12402, OPI09285 NII RC 3 20 MAI13366, MAI13367, MAI13370 MAI09042, MAI09043, MAI09141, MAI09441, MAI09456, MAI10025, MAI10210, MAI10245, MAI10471, MAI12079, MAI12595, MAI13421, MAN10239, MSI13251, OPI08005, RVSI09055, RVSI09057, RVSI09655, RVSI09887, RVSI12502 IC 7 AFFI12532, MAI10210, MAI12642, MAI13328, MAN11355, MAN12254, MSN12402 Paper summary for DO theme Poster -‐international-‐ AFFI Invited paper (conference) -‐international-‐ CII Invited paper (conference) -‐national-‐ CIN Conferences with published proceedings -‐international-‐ MAI Conferences with published proceedings -‐national-‐ MAN Conferences without proceedings -‐international-‐ MSI Conferences without proceedings -‐national-‐ MSN Books (author) -‐international-‐ OAI Books (author) -‐national-‐ OAN Books (editor) -‐international-‐ OEI Books (contribution) -‐international-‐ OPI Scientific Journals -‐international-‐ RVSI Scientific Journals -‐national-‐ RVSN Invited paper (journal) -‐international-‐ RVSII RVV Popular paper 1 26 4 373 22 22 36 5 2 4 20 244 4 4 3 DO Theme publications [AFFI] Ref Poster -‐international-‐ Authors Title Published in: AFFI12532 S.INDRA, V.ALBERT, L.TRAVEMASSUYES Developing a testbed for spacecraft fault diagnosos research Workshop on Simulation for European Space Programmes (SESP 2012), Noordwijk (Pays Bas), 25-27 Septembre 2012, 8p. [CII] Ref Invited paper (conference) -‐international-‐ Authors Title CII09939 P.LOPEZ CII10436 F.A.BENDER, J.M.GOMES DA SILVA Jr, S.TARBOURIECH CII10908 D.HENRION CII10909 D.HENRION Limited discrepancy search for flexible shop scheduling A convex framework for the design of dynamic anti-windup for state-delayed systems Published in: II Workshop of Integral Logistics, Bogota (Colombie) , 19-20 Février 2009, 39p. American Control Conference (ACC 2010), Baltimore (USA), 30 Juin - 2 juillet 2010, pp.67636768 Recovering semialgebraic shapes from their Joint French-Czech Workshop on Krylov Methods moments with semidefinite programming for Inverse Problems, Prague (République Tchèque), 19-20 Juillet 2010, 1p. (Résumé) Polinomial optimization and dynamical systems International Conference on Advances in Optimization and Related Topics (ADORT 2010), Barcelone (Espagne), 29 Novembre - 3 Décembre 2010 Scientific Production — 69 CII10910 D.HENRION CII10912 D.HENRION CII10913 G.GARCIA CII10914 J.B.LASSERRE CII10915 J.B.LASSERRE CII10917 J.B.LASSERRE CII10918 J.B.LASSERRE CII10921 J.B.LASSERRE CII10922 J.B.LASSERRE CII10923 L.BAUDOUIN CII11372 M.Z.OLIVEIRA, J.M.GOMES DA SILVA Jr, D.F.COUTINHO, S.TARBOURIECH S.TARBOURIECH, F.GOUAISBAUT C.RESTREPO, G.GARCIA, J.CALVENTE, R.GIRAL, L.MARTINEZ SALAMERO CII11373 Semidefinite programming for optimizing convex Modern Trends in Optimization and Its Application bodies under width constraints Workshop I: Convex Optimization and Algebraic Geometry, Los Angeles (USA), 28 Septembre - 1er Octobre 2010, 35p. Minimizing the sum of many rational functions International Worshop on High Performance Optimization Techniques (HPOPT 2010), Tilburg (Pays Bas), 14-16 Juin 2010, 1p. (Résumé) Four decades of automatic control in LAAS- International Conference on Electrical Systems and CNRS Automatic Control (JTEA 2010), Hammamet (Tunisie), 26-28 Mars 2010, 1p. (Résumé) A "joint+marginal" algorithm in 0/1 programming European Worshop on Mixed Integer Nonlinear Programming, Marseille (France), 12-16 Avril 2010 The "joint+marginal" approach in optimization International Conference on Optimization: techniques and Application (ICOTA8), Shanghai (Chine), 10-13 Décembre 2010, 2p. (Résumé) A "joint+marginal" algorithm in 0/1 programming Exploratory Workshop on Non-Linear Integer Programming, Séville (Espagne), 1-3 Décembre 2010 A new look at nonnegativity on closed sets Modern Trends in Optimization and Its Application Workshop I: Convex Optimization and Algebraic Geometry, Los Angeles (USA), 28 Septembre - 1 Octobre 2010 A "joint+marginal" algorithm for polynomial International Worshop on High Performance optimization Optimization Techniques (HPOPT 2010), Tilburg (Pays Bas), 14-16 Juin 2010, 1p. (Résumé) A "joint+marginal" approach to parametric Advanced Methods and Perspectives in Optimization optimization and Control (RTRA STAE), Toulouse (France), 3-5 Février 2010 Carleman estimates for inverse problems on Control of Dispersive Equations , Paris (France), 8Schridinger equations 10 Novembre 2010, 1p. Anti-windup design for a class of nonlinear World IFAC Congress (IFAC 2011), Milan (Italie), 28 control systems Août - 2 septembre 2011, pp.13432-13437 CII12809 L.TRAVE-MASSUYES L2 stability for quantized linear systems with saturations Model identification of a proton-exchange membrane fuel-cell from an input-output experiment: the diffusive representation approach Bridging technologies for diagnosis CII12971 C.ARTIGUES Scheduling and linear programming CII13426 A.SUBIAS Diagnosis from chronicles: an overview of related challenges CII13467 C.ARTIGUES CII13484 T.LE, A.DONCESCU, P.SIEGEL Models and algorithms for robust project scheduling Default logic for diagnostic of discrete time system CII13705 P.LOPEZ Constraint-based scheduling CII13729 L.TRAVE-MASSUYES Integration of different facets of diagnosis from control and AI CII13739 T.LE, A.DONCESCU, P.SIEGEL Utilization of default logic for analyzing a metabolic system in discrete time CII14289 C.ARTIGUES Recent developments in mixed integer linear programming formulations for project scheduling CII12628 Scientific Production — 70 World IFAC Congress (IFAC 2011), Milan (Italie), 28 Août - 2 Septembre 2011, pp.2338-2343 European Control Conference ( ECC ) 2013 du 17 juillet au 19 juillet 2013, Zurich (Suisse), Juillet 2013, 3p. IFAC International Symposium on Fault Detection Supervision and Safety of Technical Processes ( SAFEPROCESS ) 2012 du 29 août au 31 août 2012, Mexico (Mexique), Août 2012, 12p. International Conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems ( CPAIOR ) 2012 du 28 mai au 01 juin 2012, Nantes (France), Mai 2012 (Résumé) Congreso International de Ingenieria Mecatronica y Automatizacion ( CIIMA ) 2013 du 23 octobre au 25 octobre 2013, Bogota (Colombie), Octobre 2013, 11p. Optima 2013 du 27 octobre au 30 octobre 2013, Concepcion (Chili), Octobre 2013, 1p. (Résumé) International Conference on Broadband and Wireless Computing, Communications and Applications ( BWCCA ) 2013 du 28 octobre au 30 octobre 2013, Compiègne (France), Octobre 2013, 6p. Optima 2013 du 27 octobre au 30 octobre 2013, Concepcion (Chili), Octobre 2013, 1p. (Résumé) International Congress of Engineering Mechatronics and Automation ( CIIMA ) 2013 du 23 octobre au 25 octobre 2013, Bogota (Colombie), Octobre 2013, 12p. International Conference on Computational Science and Its Applications (ICCSA) 2013 du 23 juin au 26 juin 2013, Ho Chi Minh City (Vietnam), Juin 2013, 7p. International Conference on Project Management and Scheduling ( PMS ) 2014 du 30 mars au 02 avril 2014, Munich (Allemagne), Mars 2014 (Résumé) [CIN] Ref Invited paper (conference) -‐national-‐ Authors Title CIN10911 I.QUEINNEC CIN10916 J.B.LASSERRE CIN10919 J.B.LASSERRE CIN10920 J.B.LASSERRE [MAI] Ref Conferences with published proceedings -‐international-‐ Authors Title MAI08150 S.TARBOURIECH, I.QUEINNEC, T.R.CALLIERO, P.L.D.PERES MAI08585 MAI08616 MAI08617 MAI08647 MAI08691 MAI08692 MAI08693 MAI08694 MAI08726 MAI08728 Control design for bilinear systems with a guaranteed region of stability: an LMI-based approach D.PEAUCELLE, L.BAUDOUIN, Integral quadratic separators for performance F.GOUAISBAUT analysis G.VALMORBIDA, State feedback design for input-saturating S.TARBOURIECH, G.GARCIA nonlinear quadratic systems G.VALMORBIDA, Stability and performance analysis for input and S.TARBOURIECH, G.GARCIA, output-constrained linear systems subject to J.M.BIANNIC multiplicative neglected dynamics D.HENRION, J.B.LASSERRE, POCP: a package for polynomial optimal control C.SAVORGNAN problems M.BAYOUDH, L.TRAVEActive diagnosis of hybrid systems guided by MASSUYES, X.OLIVE diagnosability properties C.ISAZA NARVAEZ, A.ORANTES, Contribution of fuzzy classification for the T.KEMPOWSKY, M.V.LE LANN diagnosis of complex systems X.OLIVE, M.BAYOUDH, L.TRAVE- Mixing diagnosis techniques for autonomous MASSUYES satellite FDIR T.RIENMULLER, M.BAYOUDH, Hybrid estimation through synergic mode-set M.W.HOFBAUR, L.TRAVEfocusing MASSUYES D.FRAGKOULIS, G.ROUX, Sensor fault detection and isolation observer B.DAHHOU based method for single, multiple and simultaneous faults: application to a waste water treatment process D.FRAGKOULIS, G.ROUX, A global scheme for multiple and simultaneous B.DAHHOU faults in system actuators and sensors MAI09008 D.PEAUCELLE MAI09024 J.I.TORRES ZUNIGA, C.VILAS, A.VANDE WOUWER, I.QUEINNEC Y.ARIBA, F.GOUAISBAUT, S.RAHME, Y.LABIT MAI09042 MAI09043 MAI09044 Y.ARIBA, F.GOUAISBAUT, Y.LABIT F.GOUAISBAUT, Y.ARIBA MAI09063 T.CHARALAMBOUS, Y.ARIBA MAI09083 P.PAKSHIN, D.PEAUCELLE MAI09105 C.ARTIGUES, P.LOPEZ, A.HAIT MAI09128 M.BAYOUDH, L.TRAVEMASSUYES MAI09132 N.AOUANI, S.SALHI, G.GARCIA, M.KSOURI Published in: Modélisation et optimisation au service du les biotechnologies au service de l'environnement et traitement biologique des eaux de l'énergie: apport de l'automatique, Gif sur Yvette (France) , 14 Décembre 2010, 1p. (Résumé) A "joint+marginal" algorithm for 0-1 nonlinear Programmation Non Linéaire en Nombres Entiers; programs 23èmes Journée JFRO, Paris (France), 19 Mars 2010, 1p. (Résumé) On the moment-sos approach in optimization Congresso Nacional de Estadistica e Inverstigacion Operativa, coruna (Espagne), 14-17 Septembre 2010 A new look at nonnegativity on closed sets Colloque JBHU 2010, Bayonne (France), 15-17 Octobre 2010 Integral quadratic separation applied to polytopic systems Non-linear distributed parameter observer applied to a denitrification reactor Robust control tools for traffic monitoring in TCP/AQM networks Published in: IEEE 17th Mediterranean Conference on Control and Automation, Thessaloniki (Grèce), 24-26 Juin 2009 European Control Conference (ECC09), Budapest (Hongrie), 23-26 Août 2009, pp.788-793 American Control Conference (ACC 2009), Saint Louis (USA), 10-12 Juin 2009, 6p. American Control Conference (ACC 2009), Saint Louis (USA), 10-12 Juin 2009, 6p. European Control Conference (ECC09), Budapest (Hongrie), 23-27 Août 2009, 17p. SAFE PROCESS, Barcelone (Espagne), 30 Juin - 3 Juillet 2009, 6p. SAFE PROCESS, Barcelone (Espagne), 30 Juin - 3 Juillet 2009, 6p. SAFE PROCESS, Barcelone (Espagne), 30 Juin - 3 Juillet 2009, 5p. SAFE PROCESS, Barcelone (Espagne), 30 Juin - 3 Juillet 2009, 6p. International Symposium on Advanced Control of Chemical Processes (ADCHEM 2009), Istanbul (Turquie), 12-15 Juillet 2009, 6p. 6th International Multi Conference on Systems, Signals and Devices (SSD'09) International Conference on Systems, Analysis and Automatic Control (SAC), Djerba (Tunisie), 23-26 Mars 2009, pp.30-36 IFAC Symposium on Robust Control Design (Rocond'09), Haifa (Israel), 16-18 Juin 2009, 10p. 6th International Conference on Mathematical Modelling (MATHMOD'09), Vienne (Autriche), 11-13 Février 2009, pp.1556-1566 3rd IEEE Multi-Conference on Systems and Control (MSC 2009), St Petersbourg (Russie), 8-10 Juillet 2009, pp.525-530 European Control Conference (ECC09), Budapest (Hongrie), 23-26 Août 2009, pp.3557-3562 IFAC Symposium on Robust Control Design (Rocond'09), Haïfa (Israël), 16-18 Juin 2009, 14p. European Control Conference (ECC09), Budapest (Hongrie), 23-26 Août 2009, pp.2936-2941 Multiple time-delays system modeling and control for router management Delay range stability of distributed time delay systems On the stability of a power control algorithm for wireless networks in the presence of timevarying delays Stabilization and passification of uncertain 3rd IEEE Multi-Conference on Systems and Control systems via static output feedback (MSC 2009), St Petersbourg (Russie), 8-10 Juillet 2009, pp.507-512 Scheduling under energy constraints International Conference on Industrial Engineering and Systems Management (IESM'2009), Montréal (Canada), 13-15 Mai 2009, 10p. An algorithm for active diagnosis of hybrid 2nd IFAC Workshop on Dependable Control of systems casted in DES framework Discrete Systems (DCDS'09), Bari (Italie), 10-12 Juin 2009, pp.329-334 Robust control analysis and synthesis for LPV 6th International Multi-Conference Systems, Signals systems under affine uncertainty structure and Design, Djerba (Tunisie), 23-26 Mars 2009, 5p. Scientific Production — 71 MAI09141 MAI09160 MAI09161 MAI09162 MAI09164 MAI09173 MAI09201 MAI09202 MAI09203 MAI09209 MAI09213 MAI09223 MAI09226 MAI09296 MAI09312 MAI09313 MAI09316 MAI09328 MAI09352 MAI09353 MAI09356 MAI09357 MAI09358 MAI09386 MAI09431 S.RAHME, Y.LABIT, F.GOUAISBAUT Sliding mode observer for anomaly detection in 2nd International Conference on Communication TCP/AQM networks Theory, Reliability and Quality of Service (CTRQ 2009), Colmar (France), 20-25 Juillet 2009, pp.113118 M.BAYOUDH, L.TRAVEOn-line analytic redundancy relations 48th IEEE Conference on Decision and Control MASSUYES, X.OLIVE instantiation guided by component discrete- (CDC) - 28th Chinese Control Conference (CCC), dynamics for a class of non-linear hybrid Shanghai (Chine), 16-18 Décembre 2009, pp.6970systems 6975 T.RIENMULLER, M.BAYOUDH, Hybrid estimation through synergic mode-set 20th International Workshop on Principles of M.W.HOFBAUR, L.TRAVEfiltering Diagnosis (DX-09), Stockholm (Suède), 14-17 Juin MASSUYES 2009, 7p. M.BAYOUDH, L.TRAVEDiagnosis of a class of non linear hybrid 20th International Workshop on Principles of MASSUYES, X.OLIVE systems by on-line instantiation of Diagnosis (DX-09), Stockholm (Suède), 14-17 Juin parameterized analytic redundancy relations 2009, 6p. N.OLIVIER-MAGET, G.HETREUX, Model-based fault diagnosis using a hybrid 10th International Symposium on Process Systems J.M.LE LANN, M.V.LE LANN dynamic simulator: application to a chemical Engineering (PSE'09), Salvador (Brésil), 16-20 Août process 2009, pp.1641-1646 C.G.LOPEZ-VARELA, A.SUBIAS, A consistency based approach to deal with IEEE International Conference on Systems, Man, M.COMBACAU modeling errors and process failures in D.E.S and Cybernetics (SMC 2009), San Antonio (EtatsUnis), 11-14 Octobre 2009, pp.3357-3362 E.CHANTHERY, Y.PENCOLE Monitoring and active diagnosis for discrete- SAFE PROCESS 2009, Barcelone (Espagne), 30 event systems Juin - 3 Juillet 2009, 6p. P.RIBOT, Y.PENCOLE, Functional prognostic architecture for the SAFE PROCESS 2009, Barcelone (Espagne), 30 M.COMBACAU maintenance of complex systems Juin - 3 Juillet 2009, 6p. P.RIBOT, Y.PENCOLE, Diagnosis and prognosis for the maintenance of IEEE International Conference on Systems, Man, M.COMBACAU complex systems and Cybernetics (SMC 2009), San Antonio (EtatsUnis), 11-14 Octobre 2009, pp.222-222 L.HOUSSIN, C.ARTIGUES, Frequency allocation problem in a SDMA 39th International Conference on Computers & E.CORBEL satellite communication system Industrial Engineering (CIE39), Troyes (France), 6-8 Juillet 2009, pp.1611-1616 L.HEDJAZI, T.KEMPOWSKY, Classification floue de données intervallaires : XVIèmes Rencontres Francophones de la Société M.V.LE LANN, J.AGUILAR application au pronostic du cancer Francophone de Classification, SFC 2009, Grenoble MARTIN (France), 2-4 Septembre 2009, pp.165-168 M.TROJET, F.H'MIDA, P.LOPEZ Project scheduling under resource constraints: 39th International Conference on Computers & application of the cumulative global constraint Industrial Engineering (CIE39), Troyes (France), 6-8 Juillet 2009, pp.62-67 B.GACIAS, J.CEGARRA, P.LOPEZ An interdisciplinary method for a generic vehicle International Conference on Industrial Engineering routing problem decision support system and Systems Management (IESM'2009), Montréal (Canada), 13-15 Mai 2009, 8p. A.HAIT, C.ARTIGUES Sheduling parallel production lines energy costs 13th IFAC Symposium on Information Control Problems in Manufacturing, Moscou (Russie), 3-5 Juin 2009, pp.1257-1262 N.OLIVIER-MAGET, G.HETREUX, Fault detection and diagnosis based on a hybrid SAFE PROCESS 2009, Barcelone (Espagne), 30 J.M.LE LANN, M.V.LE LANN dynamic simulator Juin - 3 Juillet 2009, 6p. H.HERNANDEZ DE LEON, Intelligent control at the coagulation process in a Core 2009, Mexico City (Mexique), 27-29 Mai 2009, M.PEREZ PATRICIO, J.CAMAS, drinking water treatment plant 12p. R.MOTA GRAJALES, N.JUAREZ, M.V.LE LANN S.GUMUSSOY, D.HENRION, Multiobjective robust control with HIFOO 2.0 IFAC Symposium on Robust Control Design M.MILLSTONE, M.L.OVERTON (Rocond'09), Haifa (Israël), 16-18 Juin 2009, 12p. J.F.HERNANDEZ-SILVA, A multi-level approach for scheduling and International Conference on Industrial Engineering C.MERCE, G.FONTAN capacity management with alternative resources and Systems Management (IESM'2009), Montreal (Canada), 13-15 Mai 2009, 11p. X.PUCEL, L.TRAVE-MASSUYES, Another point of view on diagnosability SAFE PROCESS, Barcelone (Barcelone), 30 Juin Y.PENCOLE 3 Juillet 2009, 6p. S.TARBOURIECH, I.QUEINNEC, Anti-windup design with rate and magnitude European Control Conference (ECC09), Budapest M.C.TURNER actuator and sensor saturations (Hongrie), 23-26 Août 2009, pp.330-335 J.ARMENGOL, A.BREGON, Minimal structurally overdetermined stes for SAFE PROCESS 2009, Barcelone (Espagne), 30 T.ESCOBET, E.GELSO, residual generation: a comparison of alternative Juin - 3 Juillet 2009, 6p. M.KRYSANDER, M.NYBERG, approaches X.OLIVE, B.PULIDO, L.TRAVEMASSUYES J.QUEVEDO, V.PUIG, The contribution of interval-based models to SAFE PROCESS 2009, Barcelone (Espagne), 30 T.ESCOBET, R.SARRATEcomplex systems fault detection problems Juin - 3 Juillet 2009, 6p. ESTRUCH, F.NEJJARI, L.TRAVEMASSUYES F.PERROT, L.TRAVE-MASSUYES Making use of problem structure in static and SAFE PROCESS 2009, Barcelone (Espagne), 30 dynamic constraint-based diagnosis Juin - 3 Juillet 2009, 6p. S.OURARI, C.BRIAND, Minimizing the number of tardy jobs in single Multidisciplinary International Conference on B.BOUZOUIA machine scheduling using MIP Scheduling: Theory and Applications (MISTA 2009), Dublin (Irelande), 10-12 Août 2009, pp.227-238 F.BANIEL, M.J.HUGUET, T.VIDAL Stability requirement in a weekly waste 39th International Conference on Computers & collection problem Industrial Engineering (CIE39), Troyes (France), 6-8 Juillet 2009, pp.1076-1081 Scientific Production — 72 MAI09441 MAI09456 MAI09468 MAI09469 MAI09470 MAI09478 MAI09480 MAI09486 MAI09537 MAI09552 MAI09562 MAI09563 MAI09576 MAI09579 MAI09597 MAI09631 MAI09637 MAI09640 MAI09653 MAI09732 MAI09733 MAI09749 MAI09754 R.DELPOUX, P.BERTHOU, F.GOUAISBAUT, Y.LABIT Satellite terminal quality of service management 15th Ka and Broadband Communications, with AQM control Nagivation and Earth Observation Conference, Cagliari (Talie), 23-25 Septembre 2009, pp.349-357 S.RAHME, Y.LABIT, An unknown input sliding observer for anomaly International Conference on Ultra Modern F.GOUAISBAUT detection in TCP/IP network Telecommunications, ICUMT 2009, Saint Petersbourg (Russie), 12-14 Octobre 2009, 7p. Y.EBIHARA, D.PEAUCELLE, Robustness analysis of uncertain discrete-time ICROS-SICE Joint Conference ( ICCAS-SICE ) 2009 D.ARZELIER linear systems based on system lifting and LMIs du 18 août au 21 août 2009, Fukuoka (Japon), Août 2009, 6p. F.GOUAISBAUT, J.M.GOMES DA Stability analysis of discrete-time systems with European Control Conference (ECC09), Budapest SILVA Jr uncertain delays: a quadratic separation (Hongrie), 23-27 Août 2009, pp.4175-4180 approach E.CHANTHERY, Y.PENCOLE Principles of self-maintenance in an on-board The IJCAI-09 Workshop on Self-* and Autonomous architecture including active diagnosis Systems (SAS09), Pasadena (USA), 11-13 Juillet 2009, 8p. M.N.KABBAJ, A.DONCESCU, Parity relations based on elimination theory for SAFE PROCESS 2009 - 7th IFAC Symposium on J.AGUILAR MARTIN fault detection in a bioprocess Fauult Detection Supervision and Safety of Technical Processes, Barcelone (Espagne), 30 Juin - 3 Juillet 2009, 6p. F.BANIEL, M.J.HUGUET, T.VIDAL Stability in waste collection problem: a case International Workshop on Freight Transportation study and Logistics (ODYSSEUS 2009), Cesme (Turquie), 16-19 Mai 2009, 3p. A.DONCESCU, M.N.KABBAJ Self-tuning vision system for monitoring 3rd International Conference on Complex Intelligent bioreactor cell populations and Software Intensive Systems (CISIS-2009), Fukuoka (Japon), 16-19 Mars 2009, 5p. H.GHARBI, C.MERCE, Supply Chain Hierarchical Planning Under International Conference on Computers & Industrial G.FONTAN, M.MOALLA demand Uncertainty Engineering, Troyes (France), 6-8 Juillet 2009 E.CHANTHERY, Y.PENCOLE Modélisation et Intégration du Diagnostic Actif Journal européen des systèmes automatisés dans une Architecture Embarquée Modèlisation des systèmes réactifs (JESA-MSR 2009), Nantes (France), 16-18 Novembre 2009, pp.789-803 M.KARA-ZAITRI, D.ARZELIER, Solving fuel-optimal implusive rendezvous 21st International Symposium on Space Flight C.LOUEMBET, A.THERON problem using primer vector theory and real Dynamic, Toulouse (France), 28 Septembre-2 algebraic geometry octobre 2009, 15p. C.LOUEMBET, M.KARA-ZAITRI, Solving fuel-optimal orbital homing problem with 21st International Symposium on Space Flight D.ARZELIER, A.THERON continuous thrust using direct methods Dynamic, Toulouse (France), 28 Septembre-2 octobre 2009, 14p. A.THERON, M.KARA-ZAITRI, Nonlinear and linear local cartesian relative 21st International Symposium on Pace Flight D.ARZELIER, C.LOUEMBET motion state models for J2 perturbed elliptical Dynamics, Toulouse (France), 28 Septembre-2 orbits octobre 2009, 15p. M. ANDERLE, D.HENRION, LMI based design for the Acrobot walking 9th IFAC Symposium on Robot Cpntrol JZIKMUND, S.CELIKOVSKY (SYROCO'09), Gifu (Japon), 9-12 Septembre 2009, pp.595-600 L.HEDJAZI, T.KEMPOWSKY, Prognosis of breast cancer based on a fuzzy 3rd International Joint Conference on Biomedical M.V.LE LANN, J.AGUILAR classification method Engineering Systems and Technologies (BIOSTEC MARTIN 2010) 1st International Conference on Bioinformatics (BIOINFORMATICS 2010), Valence (Espagne), 2023 Janvier 2010, pp.123-130 V.ANDRIEU, C.PRIEUR, Synthesis of a global asymptotic stabilizing 48th IEEE Conference on Decision and Control S.TARBOURIECH, D.ARZELIER feedback law a system satisfying two different (CDC) - 28th Chinese Control Conference (CCC), sector conditions Shanghai (Chine), 16-18 Décembre 2009, pp.42284233 B.ROBU, L.BAUDOUIN, A controled distributed parameter model for a 48th IEEE Conference on Decision and Control C.PRIEUR fluid-flexible structure system : numerical (CDC) - 28th Chinese Control Conference (CCC), simulations and experiment validations Shanghai (Chine), 16-18 Décembre 2009, pp.55325537 D.ARZELIER, E. N.GRYAZINA, Mixed LMI/Randomized Methods for Static American Control Conference (ACC 2010), D.PEAUCELLE, B.T. POLYAK Output fedback Control Design Baltimore (USA), 30 Juin - 2 Juillet 2010, pp.46834688 Y.ARIBA, F.GOUAISBAUT Input-output framework for robust stability of IEEE Conference on Decision and Control ( CDC ) time-waring delay systems 2009 du 16 décembre au 18 décembre 2009, Shanghai (Chine), 16-18 Décembre 2009, pp.274279 W.BEN MABROUK, C.BEN Finite-time stabilization of non affine systems : A International Symposium on Communications, NJIMA, H.MESSAOUD, constructive method for polynomial systems Control and Signal Processing (ISCCSP 2010), G.GARCIA Limassol (Chypre), 3-5 Mars 2010, 4p. W.BEN MABROUK, C.BEN Stabilisation en temps fini des systèmes non Conférence Internationale Francophone NJIMA, H.MESSAOUD, linéaires affines d'Automatique (CIFA 2010), Nancy (France), 2-4 G.GARCIA Juin 2010, 6p. C.BRIAND, T.LEHAUX The multi-agent projet scheduling problem : the 12th International Workshop devoted to Project fair share of stress Management and Scheduling (PMS 2010), Tours (France), 26-28 Avril 2010, 4p. L.HOUSSIN, T.BEN RAHHOU, A heap of pieces approach for the cyclic job- International Conference on Project Management C.ARTIGUES shop problem and Scheduling ( PMS ) 2014 du 30 mars au 02 avril 2014, Munich (Allemagne), Mars 2014 Scientific Production — 73 MAI09836 M.FARZA, M.TRIKI, M.M'SAAD, B.DAHHOU MAI09858 B.ROBU, L.BAUDOUIN, C.PRIEUR MAI09875 Y.EBIHARA, Y.KUBOYAMA, T.HAGIWARA, D.PEAUCELLE, D.ARZELIER MAI09876 P.PAKSHIN, D.PEAUCELLE MAI09880 Y.EBIHARA, D.PEAUCELLE, D.ARZELIER M.JUNGERS, E.B.CASTELAN, J.DAAFOUZ, S.TARBOURIECH MAI09881 MAI09882 P.PAKSHIN, D.PEAUCELLE, T.Y.ZHILINA MAI09888 J.BOADA, C.PRIEUR, S.TARBOURIECH, C.PITTET MAI09907 G.VALMORBIDA, S.TARBOURIECH, G.GARCIA MAI09908 D.BILY, V.MAHOUT MAI09931 C.OLALLA MARTINEZ, R.LEYVA, A.EL AROUDI, I.QUEINNEC, S.TARBOURIECH J.M.GOMES DA SILVA Jr, F.A.BENDER, S.TARBOURIECH, J.M.BIANNIC A.BEN HMIDA, M.HAOUARI, M.J.HUGUET, P.LOPEZ MAI09943 MAI10006 MAI10008 MAI10011 MAI10012 MAI10018 MAI10025 MAI10028 MAI10046 MAI10047 MAI10081 MAI10097 MAI10098 Unknown inputs observers for a class of International Conference on Sciences and nonlinear systems Techniques of Automatic control & computer engineering ( STA ) 2009 du 20 décembre au 22 décembre 2009, Hammamet (Tunisie), Décembre 2009, 12p. A distributed parameter model for a fluid-flexible 5th IFAC Workshop on Control of Distributed structure system Parameter Systems (CDPS'09), Toulouse (France), 20-24 Juillet 2009, pp.46-47 Further results on periodically time-varying 48th IEEE Conference on Decision and Control memory state-feedback controller synthesis for (CDC) - 28th Chinese Control Conference (CCC), discrete-time linear systems Shanghai (Chine), 16-18 Décembre 2009, pp.702707 LQR parametrization of static output feedback 48th IEEE Conference on Decision and Control gains for linear systems with Markovian (CDC) - 28th Chinese Control Conference (CCC), switching and related robust stabilization and Shanghai (Chine), 16-18 Décembre 2009, pp.1157passification problems 1162 Robustness Analysis of Uncertain Discrete-Time ICCAS-SICE 2009, Fukuoka (Japon), 18-21 Août Linear Systems 2009 Stabilization of discrete-time switching systems 3rd IFAC Conference on Analysis and Design of including modal nonlinerities ans saturating Hybrid Systems, Zaragoza (Espagne), 16-18 actuators Septembre 2009 Stabilization of linear systems with state 14th International IEEE/IFAC Conference - MMAR dependant noise via output feedback and its 2009, Miedzyzdroje (Pologne), 19-21 Août 2009 application to robust control design Anti-windup Design for Satellite Control with AIAA Guidance, Navigation, and Control Microthrusters Conference, Chicago (Etats Unis), 10-13 Août 2009, p.18p Region of attraction estimates for polynomial 48th IEEE Conference on Decision and Control systems (CDC) - 28th Chinese Control Conference (CCC), Shangai (Chine), 16-18 Décembre 2009, 6p. Robust nonlinear control based on norm- European Control Conference 2009 (ECC'09), bounded approximation and gain-scheduling Budapest (Hongrie), 23-26 Août 2009, pp.1124-1129 Hinfinite control of DC-DC converters with Annual Conference of the IEEE Industrial Electronics saturated inputs Society (IECON 2009), Porto (Portugal), 3-5 Novembre 2009, 6p. Dynamic anti-windup synthesis for state delayed IEEE Conference on Decision and Control (CDC systems: an LMI approach 2009), Shanghai (Chine), 16-18 Décembre 2009, pp.6904-6909 Discrepancy Search for solving flexible 12th International Workshop devoted to Project scheduling problems Management and Scheduling (PMS 2010), Tours (France), 26-28 Avril 2010, 4p. M.J.HUGUET, C.ARTIGUES, M. Generalized Constraint Propagation for Solving 12th International Workshop devoted to Project DUGAS, P.LOPEZ Job Shop Problems with time lags Management and Scheduling (PMS 2010), Tours (France), 26-24 Avril 2010, 4p. O.KONE, C.ARTIGUES, P.LOPEZ, An on/off event-based formulation for RCPSP 12th International Workshop devoted to Project M.MONGEAU with production and consumption of resources Management and Scheduling (PMS 2010), Tours (France), 26-28 Avril 2010, 4p. W.KAROUI, M.J.HUGUET, Méthode de recherche à divergence limitée pour International Conference of Modeling and Simulation P.LOPEZ, M.HAOUARI les problèmes d'ordonnancement avec (MOSIM'10), Hammamet (Tunisie), 10-12 Mai 2010, contraintes de délais 6p. W.KAROUI, M.J.HUGUET, Limited discrepancy heuristic for scheduling 12th International Workshop devoted to Project P.LOPEZ, M.HAOUARI problems with time lags Management and Scheduling (PMS 2010), Tours (France), 26-28 Avril 2010, 4p. R.DELPOUX, P.BERTHOU, Enhancing Multimedia Experience in Satellite International Conference on Advances in Satellite F.GOUAISBAUT, Y.LABIT Communication Systems With AGM Based and Space Communications (SPACOMM 2010), Traffic Controllers Athènes (Grèce), 13-19 Juin 2010, pp.76-81 F.GUEYE, C.ARTIGUES, Bi-objective multimodal time-dependent shortest Triennial Symposium on Transportation Analysis M.J.HUGUET viable path algorithms (TRISTAN VII), Tromso (Norvège), 20-25 Juin 2010, pp.322-325 C.BRIAND, T.LEHAUX A fair multi-agent shop scheduling problem Uncertainty and Robustness in Planning and Decision Making (URPDM 2010), Coimbra (Portugal), 15-17 Avril 2010, 6p. C.BRIAND, S.OURARI, Un algorithme coopératif pour un problème International Conference of Modeling and Simulation B.BOUZOUIA d'atelier job shop multi-agent (MOSIM'10), Hammamet (Tunisie), 10-12 Mai 2010, 10p. M.KARA-ZAITRI, D.ARZELIER, Mixed Iterative Algorithm For Solving Optimal AIAA Guidance navigation and Control Conference, C.LOUEMBET Impulsive Time-Fixed Rendezvous problem Toronto (Canada), 2-5 Août 2010, 19p. W.KAROUI, M.J.HUGUET, Climbing discrepancy search for flowshop and International Symposium on Combinatorial P.LOPEZ, M.HAOUARI jobshop scheduling with time-lags Optimization (ISCO 2010), Hammamet (Tunisie), 2426 Mars 2010, 8p. D.PEAUCELLE, A.BORTOTT, Robust analysis of Demmeter benchmark via IFAC Symposium on Automatic Control in Aerospace F.GOUAISBAUT, D.ARZELIER, quadratic separation (ACA 2010), Nara (Japon), 6-10 Septembre 2010, C.PITTET 6p. Scientific Production — 74 MAI10110 O.GUENOUNOU, A.BELMEHDI, B.DAHHOU MAI10120 G. CHEVARRIA, D.PEAUCELLE, D.ARZELIER, G.PUYOU MAI10143 R.G.SANFELICE, C.PRIEUR MAI10153 C.PRIEUR, C.L.F.OLIVEIRA, S.TARBOURIECH, P.L.D.PERES MAI10154 MAI10184 MAI10185 MAI10205 MAI10206 MAI10207 MAI10208 MAI10210 MAI10220 MAI10221 MAI10234 MAI10245 MAI10282 MAI10299 MAI10300 MAI10310 MAI10311 MAI10313 MAI10325 MAI10336 MAI10344 Modélisation neuronale avec une structure Conférence Internationale Francophone minimale d'Automatique (CIFA 2010), Nancy (France), 2-4 Juin 2010, 6p. Robust Analysis of the longitudinal Control of a IEEE Multi Conference on Systems and Control, Civil Aircraft using RoMulOC Yokohama (Japon), 8-10 Septembre 2010, pp.737742 Uniting two output-feedback hybrid controllers American Control Conference (ACC 2010), with different objectives Baltimore (USA), 30 Juin - 2 Juillet 2010, pp.910-915 Stability analysis and state feedback control American Control Conference (ACC 2010), design of discrete-time systems with a backlash Baltimore (USA), 30 Juin - 2 Juillet 2010, pp.26882693 J.I.TORRES ZUNIGA, Observer-based output feedback linear control American Control Conference (ACC 2010), I.QUEINNEC, C.VILAS, A.VANDE applied to a denitrification reactor Baltimore (USA), 30 Juin - 2 Juillet 2010, pp.5880WOUWER 5885 L.DOUAT, I.QUEINNEC, Flexible model identification of the parallel robot IEEE/RSJ International Conference on Intelligent G.GARCIA, M.MICHELIN, Par2 Robots and Systems (IROS 2010), Taipei (Taiwan), F.PIERROT 18-22 Octobre 2010, pp.6175-6180 M.MEVISSEN, J.B.LASSERRE, Moment and SDP relaxation techniques for World IFAC Congress (IFAC 2011), Milan (Italie), 28 D.HENRION smooth approximations of nonlinear differential Août - 2 Septembre 2011, pp.10887-10892 equations Z.LI, B.DAHHOU Fault isolation and identification based on International Conference on Control and Automation adaptive parameter intervals for nonlinear (ICCA 2010), Xiamen (Chine), 9-11 Juin 2010, systems pp.1525-1530 M.KARA-ZAITRI, D.ARZELIER, Polynomial optimization for the solution of fuel- IEEE Conference on Decision and Control (CDC A.DELIBASI, C.LOUEMBET optimal impulsive rendezvous using primer 2010), Atlanta (USA), 15-17 Décembre 2010, pp.352vector theory 357 J.BOADA, C.PRIEUR, Multi-saturation anti-windup structure for satellite American Control Conference (ACC 2010), S.TARBOURIECH, C.PITTET, C. control Baltimore (USA), 30 Juin - 2 Juillet 2010, pp.5979CHARBONNEL 5984 C.OLALLA MARTINEZ, Robust control design of bilinear DC-DC IEEE International Symposium on Industrial I.QUEINNEC, R.LEYVA converters with guaranteed region of stability Electronics (ISIE 2010), Bari (Italie), 4-7 Juillet 2010, 6p. V.ALBERT, F.ARMANDO, Sparkles for the validation models for International Conference of Modeling and Simulation M.BAYOUDH, F.PERROT, communicating hybrid systems ( MOSIM'10), Hammamet (Tunisie), 10-12 Mai 2010, L.TRAVE-MASSUYES 9p. M.AYALA PEREZ, C.ARTIGUES, Lagrangian relaxation-based lower bound for International Symposium on Combinatorial B.GACIAS resource-constrained modulo scheduling Optimization (ISCO 2010), Hammamet (Tunisie), 2426 Mars 2010, 8p. M.AYALA PEREZ, C.ARTIGUES Column generation based lower bounds for the 12th International Workshop devoted to Project resource constrained modulo scheduling Management and Scheduling (PMS 2010), Tours (France), 26-28 Avril 2010, 4p. L.HEDJAZI, T.KEMPOWSKY, L. Sensor placement and fault detection using an IEEE Conference on Decision and Control (CDC DESPENES, M.V.LE LANN, efficient fuzzy feature selection approach 2010), Atlanta ( USA), 15-17 Décembre 2010, S.ELGUE, J.AGUILAR MARTIN pp.6827-6832 S.RAHME, Y.LABIT, Second order sliding mode observer for IEEE Conference on Decision and Control (CDC F.GOUAISBAUT, T.FLOQUET anomaly detection in TCP networks: from theory 2010), Atlanta (USA), 15-17 Décembre 2010, to practice pp.5120-5125 T.BEN RAHHOU, L.HOUSSIN, Une approche par la théorie des tas pour le International Conference of Modeling and Simulation C.ARTIGUES jobshop cyclique (MOSIM'10), Hammamet (Tunisie), 10-12 Mai 2010, 7p. B.GACIAS, P.LOPEZ, J.CEGARRA A decision support system for vehicle routing International Conference of Modeling and Simulation based on model inversion and data analysis (MOSIM'10), Hammamet (Tunisie), 10-12 Mai 2010, 10p. O.KONE, C.ARTIGUES, P.LOPEZ, Résolution du RCPSP avec production et International Conference of Modeling and Simulation M.MONGEAU consommation de ressources : modèles PLNE (MOSIM'10), Hammamet (Tunisie), 10-12 Mai 2010, basés sur les événements 10p. J.I.TORRES ZUNIGA, Observer-based output feedback linearizing International Sysmposium on Computer Applications I.QUEINNEC, A.VANDE control applied to a denitrification reactor in Biotechnology (CAB 2010), Louvain (Belgique), 7WOUWER 9 Juillet 2010, pp.102-107 M.ALAMIR, P.BELLEMAIN, CLPP: a user-friendly platform for nonlinear IFAC Symposium on Dynamics and Control of L.BOILLEREAUX, I.QUEINNEC, robust observer design Process Systems (DYCOPS 2010), Louvain M.TITICA, N.SHEIBAT-OTHMAN, (Belgique), 5-7 Juillet 2010, 6p. C.CADET, G.BESANCON T.LOQUEN, D.NESIC, C.PRIEUR, Piecewise quadratic Lyapunov functions for IFAC Symposium on Nonlinear Control Systems S.TARBOURIECH, A.R.TEEL, linear control systems with first order reset (NOLCOS 2010), Bologne (Italie), 1-3 Septembre L.ZACCARIAN elements 2010, pp.807-812 M.TRIKI, M.FARZA, M.M'SAAD, Observer synthesis for a class of MIMO non Mediterranean Conference on Control and T.MAATOUG, B.DAHHOU triangular nonlinear systems Automation (MED'10), Marrakech (Maroc), 23-25 Juin 2010, pp.40-45 G.VALMORBIDA, Anti-windup for NDI quadratic systems IFAC Symposium on Nonlinear Control Systems S.TARBOURIECH, M.C.TURNER, (NOLCOS 2010), Bologne (Italie), 1-3 Septembre G.GARCIA 2010, pp.1175-1180 V.MAHOUT Commande robuste non linéaire et à Conférence Internationale Francophone basculement de gains pour le suivi de trajectoire d'Automatique (CIFA 2010), Nancy (France), 2-4 Juin 2010, 7p. Scientific Production — 75 MAI10363 MAI10372 MAI10394 MAI10397 MAI10411 MAI10417 MAI10437 MAI10438 MAI10471 MAI10484 MAI10621 MAI10643 MAI10652 MAI10654 MAI10655 MAI10677 MAI10684 MAI10686 MAI10687 MAI10688 MAI10689 MAI10739 MAI10744 MAI10759 MAI10760 MAI10761 MAI10762 C.PRIEUR, S.TARBOURIECH, L.ZACCARIAN Guaranteed stability for nonlinear systems by IFAC Symposium on Nonlinear Control Systems means of a hybrid loop (NOLCOS 2010), Bologne (Italie), 1-3 Septembre 2010, pp.72-77 B.GACIAS, J.CEGARRA, P.LOPEZ Work domain analysis and ecological interface 11th IFAC/IFIP/IFORS/IEA Symposium on Analysis, for the vehicle routing problem Design and Evaluation of Human-Machine System , Valenciennes (France), 31 Août - 3 Septembre 2010, 6p. L.HEDJAZI, J.AGUILAR MARTIN, Fuzzy mechanisms for unified reasoning about International Workshop on Qualitative Reasonning, M.V.LE LANN, T.KEMPOWSKY heterogeneous data Portland (USA), 8-10 Août 2010, 6p. A.SCHUMANN, Y.PENCOLE, A decentralised symbolic diagnosis approach European Conference on Artificial Intelligence (ECAI S.THIEBAUX 2010), Lisbonne (Portugal), 16-20 Août 2010, 6p. J.BOADA, C.PRIEUR, S.TARBOURIECH, C.PITTET, C. CHARBONNEL E.CHANTHERY, Y.PENCOLE, N.BUSSAC S.TARBOURIECH, C.PRIEUR, I.QUEINNEC, T.SIMOES DOS SANTOS P.PAKSHIN, D.PEAUCELLE Extended model satellite control recovery anti-windup for IFAC Symposium on Automatic Control in Aerospace (ACA 2010), Nara (Japon), 6-10 Septembre 2010, 6p. An AO*-like algorithm implementation for active International Symposium on Artificial Intelligence, diagnosis Robotics and Automation in Space (i-SAIRAS 2010), Sapporo (Japon), 29 Août - 1 Septembre 2010, pp.378-385 Global Stability for Systems with nested American Control Conference 2010, Baltimore Backlash and Saturation Operators (USA), 30 Juin - 2 juillet 2010, pp.2665-2670 Output Feedback Stabilizing Control and International Symposium on Mathematical Theory of Passification of Switching Diffusion Systems Networks and Systems (MTNS 2010), Budapest (Hongrie), 5-9 Juillet 2010, 14p. A.SUBIAS, E.EXPOSITO, Self-adapting strategies guided by diagnosis International Workshop on Principles of Diagnosis C.CHASSOT, L.TRAVEand situation assessment in collaborative (DX 10), Portland (USA), 13-16 Octobre 2010, MASSUYES, K.DRIRA communicating systems pp.329-336 C.ARTIGUES, N.JOZEFOWIEZ, An exact method for the bi-objective one- International Symposium on Combinatorial M.A.ALOULOU machine problem with maximum lateness and Optimization (ISCO 2010), Hammamet (Tunisie), 24unit family setup cost objectives 26 Mars 2010, 8p. C.LOUEMBET, G.DEACONU Collision avoidance in low thrust rendezvous American Control Conference (ACC 2011), San guidance using flatness and positive B-splines Francisco (USA), 29 Juin - 1 Juillet 2011, 6p. B.ROBU, V.BUDINGER, Simultaneous Hinfinite vibration control of IEEE Conference on Decision and Control (CDC L.BAUDOUIN, C.PRIEUR, fluid/plate system via reduced-order controller 2010), Atlanta (USA), 15-17 Décembre 2010, D.ARZELIER pp.3146-3151 M.FIACCHINI, S.TARBOURIECH, Ellipsoidal invariant sets for saturated hybrid American Control Conference (ACC 2011), San C.PRIEUR systems Francisco (USA), 29 Juin - 1 Juillet 2011, 7p. M.FIACCHINI, S.TARBOURIECH, Polytopic control invariant sets for continuous- American Control Conference (ACC 2011), San C.PRIEUR time systems: a viability theory approach Francisco (USA), 29 Juin - 1 Juillet 2011, 8p. L.DOUAT, I.QUEINNEC, Hinfinite control applied to the vibration IEEE International Conference on Control G.GARCIA, M.MICHELIN, minimization of the parallel robot Par2 Applications (CCA 2011), Denver (USA), 28-30 F.PIERROT Septembre 2011, pp.947-952 D.HENRION, T.VYHLIDAL Positive trigonometric polynomials for strong World IFAC Congress (IFAC 2011), Milan (Italie), 28 stability of difference equations Août - 2 Septembre 2011, pp.296-301 Y.EBIHARA, D.PEAUCELLE, Some conditions for convexifying static Hinfinite World IFAC Congress (IFAC 2011), Milan (Italie), 28 D.ARZELIER control problems Août - 2 Septembre 2011, pp.9248-9253 D.PEAUCELLE, B.ANDRIEVSKY, Robust simple adaptive control with relaxed World IFAC Congress (IFAC 2011), Milan (Italie), 28 V.MAHOUT, A.FRADKOV passivity and PID control of a helicopter Août - 2 Septembre 2011, pp.2315-2320 benchmark D.PEAUCELLE, A.DROUOT, Simple adaptive control without passivity World IFAC Congress (IFAC 2011), Milan (Italie), 28 C.PITTET, J.MIGNOT assumptions and experiments on satellite Août - 2 septembre 2011, pp.6535-6540 attitude control DEMETER benchmark M.SATO, D.PEAUCELLE Gain-scheduled Hinfinite controllers being World IFAC Congress (IFAC 2011), Milan (Italie), 28 derivative-free of scheduling parameters via Août - 2 Septembre 2011, pp.7951-7956 parameter-dependent Lyapunov functions C.JAUBERTHIE, N.VERDIERE, Set-membership identifiability: definitions and World IFAC Congress (IFAC 2011), Milan (Italie), 28 L.TRAVE-MASSUYES analysis Août - 2 Septembre 2011, pp.12024-12029 L.C.NEVES, G.V.PAIM, Passivity and power based control of a robot World IFAC Congress (IFAC 2011), Milan (Italie), 28 I.QUEINNEC, U.F. MORENO, with parallel architecture Août - 2 Septembre 2011, pp.14608-14613 E.R.DE PIERI L.HEDJAZI, M.V.LE LANN, From chemical process diagnosis to Cancer European Symposium on Computer-Aided Process T.KEMPOWSKY, J.AGUILAR prognosis: an integrated approach for diagnosis Engineering (ESCAPE 21), Chalkidiki (Grèce), 29 MARTIN, F.DALENC, G.FAVRE, L. and sensor/marker selection Mai - 1 Juin 2011, pp.1510-1514 DESPENES, S.ELGUE A.HAMZA, S.CHITROUB, An efficient noisy-ICA based approach to International Workshop on Multiple Access A.O.DAHMANE, G.SALUT multiuser detection in IDMA systems Communications (MACOM 2010), Barcelone (Espagne), 13-14 Septembre 2010, 12p. A.HAMZA, A.KAZEM, G.SALUT ICA based blind multiuser detection in IDMA International Conference on Wireless Information context Networks and Systems (WINSYS 2010), Athènes (Grèce), 26-28 Juillet 2010, 5p. M.W.HOFBAUR, L.TRAVEOvercoming non-discernibility through mode- International Workshop on Principles of Diagnosis MASSUYES, T.RIENMULLER, sequence analytic redundancy relations in (DX 10), Portland (USA), 13-16 Octobre 2010, pp.71M.BAYOUDH hybrid diagnosis and estimation 78 P.KAN JOHN, A.GRASTIEN, Synthesis of a distributed and accurate International Workshop on Principles of Diagnosis Y.PENCOLE diagnoser (DX 10), Portland (USA), 13-16 Octobre 2010, 8p. Scientific Production — 76 MAI10764 MAI10843 S.HATTOU, M.V.LE LANN, K.PREUSS, B.ROUSSEL, M.CABASSUD S.LANNEZ, C.ARTIGUES, J.DAMAY, M.GENDREAU Implementation of model predictive controller in European Symposium on Computer-Aided Process a pharmaceutical development plant Engineering (ESCAPE 21), Chalkidiki (Grèce), 29 Mai - 1 Juin 2011, 5p. Column generation heuristic for a rich arc Workshop on Algorithmic Approaches for routing problem Transportation Modelling, Optimization, and Systems (ATMOS'10), Liverpool (UK), 9 Septembre 2010, Vol.14, pp.130-141 Heuristic column generation for railroad track Triennial Symposium on Transportation Analysis inspection scheduling (TRISTAN VII), Tromso (Norvège), 20-25 Juin 2010, pp.482-484 Scheduling and planning the outbound baggage IEEE International Conference on Industrial process at international airports Engineering and Engineering Management (IEEM 2010), Macao (Chine), 7-10 Décembre 2010, pp.101105 Constraint programming and combinatorial International Conference on Integration of AI and OR optimisation in numberjack Techniques in Constraint Programming for Combinatorial Optimization Problems (CPAIOR 2010), Bologne (Italie), 14-18 Juin 2010, pp.181-185 MAI10853 S.LANNEZ, C.ARTIGUES, J.DAMAY, M.GENDREAU MAI10854 M.FREY, C.ARTIGUES, R.KOLISCH, P.LOPEZ MAI10855 E.HEBRARD, E.O'MAHONY, B.O'SULLIVAN MAI10856 D.GRIMES, E.HEBRARD Job shop scheduling with setup times and International Conference on Integration of AI and OR maximal time-lags: A simple constraint Techniques in Constraint Programming for programming approach Combinatorial Optimization Problems (CPAIOR 2010), Bologne (Italie), 14-18 Juin 2010, pp.147-161 MAI10877 S.TARBOURIECH, F.GOUAISBAUT Y.ARIBA, F.GOUAISBAUT, K.H.JOHANSSON M.SATO, Y.EBIHARA, D.PEAUCELLE Stabilization of quantized linear systems with IEEE Conference on Decision and Control (CDC saturations 2010), Atlanta (USA), 15-17 Décembre 2010, 6p. Stability interval for time-varying delay systems IEEE Conference on Decision and Control (CDC 2010), Atlanta (USA), 15-17 Décembre 2010, 6p. Gain-scheduled state-feedback controllers using American Control Conference (ACC 2010), inexactly measured scheduling parameters: H2 Baltimore (USA), 30 Juin - 2 Juillet 2010, 6p. and Hinfinite problems Nonlinear dynamic system sensor fault isolation World Congress on Intelligent Control and using parameter interval based method Automation (WCICA 2010), Jinan (Chine), 7-9 Juillet 2010, 6p. An approach for tactical planning under IEEE International Conference on Systems, Man, uncertain and disrupted environment and Cybernetics (SMC 2012), Istanboul (Turquie), 10-13 Octobre 2010, pp.2979-2986 Passenger improver - A second phase method Triennal Symposium On Transportation Analysis ( for integrated aircraft passenger recovery TRISTAN ) 2010 du 20 juin au 25 juin 2010, Tromso systems (Norvège), Juin 2010, 4p. Sensor and Actuator Fault Isolation Using International Workshop on Principles of Diagnosis ( Parameter - Interval based Method for Nonlinear DX ) 2010 du 13 octobre au 16 octobre 2010, Dynamic Systems Portland (USA), Octobre 2010, 7p. Improved breast cancer prognosis on a hybrid International Conference on Biomedical Engineering marker selection approach Systems and Technologies (BIOSTEC 2011), Rome (Italie), 26-29 Janvier 2011, pp.159-164 MAI10892 MAI10936 MAI10937 Z.LI, B.DAHHOU, C.ZHANG MAI10973 H.GHARBI, C.MERCE, G.FONTAN, M.MOALLA MAI10988 R.ACUNA-AGOST, M.BOUDIA, N.JOZEFOWIEZ, C.MANCEL, F.MORA CAMINO Z.LI, B.DAHHOU, G.ROUX, J.YANG, C.ZHANG MAI10996 MAI11014 L.HEDJAZI, M.V.LE LANN, T.KEMPOWSKY, F.DALENC, G.FAVRE MAI11022 B.TORCHANI, A.SELLAMI, RM'HIRI, G.GARCIA MAI11025 W.BEN MABROUK, C.BEN NJIMA, G.GARCIA, H.MESSAOUD O.GUENOUNOU, A.BELMEHDI, B.DAHHOU, N.BELKHERCHI Intelligent design of fuzzy logic controller using NSGA-II MAI11071 O.GUENOUNOU, A.BELMEHDI, B.DAHHOU, N.BELKHERCHI Design of fuzzy controller using algorithms with new mutation operator MAI11084 C.ARTIGUES, R.LEUS, F.TALLA NOBIBON Robust optimization for resource-constrained project scheduling with uncertain activity durations MAI11116 L.HOUSSIN MAI11049 MAI11140 MAI11144 Comparative analysis of the saturated sliding mode and LQR controllers applied to an inverted pendulum Finite-time stabilization of nonlinear affine systems. Application to robot manipulator genetic International Conference on Communications Computing and Control Applications (CCCA'11), Hammamet (Tunisie), 3-5 Mars 2011, 6p. International Conference on Communications Computing and Control Applications (CCCA'11), Hammamet (Tunisie), 3-5 Mars 2011, 7p. International Conference on Intelligent Systems Design and Applications (ISDA 2011), Cordoba (Espagne), 22-24 Novembre 2011, pp.172-177 International Multi Conference on Systems, Signals & Devices (SSD'11), Sousse (Tunisie), 22-25 Mars 2011, 5p. IEEE International Conference on Industrial Engineering and Engineering Management ( IEEM ) 2011 du 06 décembre au 09 décembre 2011, Singapour (Singapour), Décembre 2011, pp.101-105 Cyclic jobshop problem and (max, plus) algebra World IFAC Congress (IFAC 2011), Milan (Italie), 28 Août - 2 Septembre 2011, pp.2717-2721 J.B.LASSERRE Inverse polynomial optimization IEEE Conference on Decision and Control and European Control Conference (CDC-ECC 2011), Orlando (USA), 12-15 Décembre 2011, pp.27942799 C.JAUBERTHIE, R.JAUBERTHIE, Indicateurs d'endommagement et durée de vie Séminaire International. Innovation et Valorisation en Y.MELINGE d'ouvrages d'assainissement génie civil et Matériaux de Construction (INVACO 2), Rabat (Maroc), 23-25 Novembre 2011, 6p. Scientific Production — 77 MAI11155 MAI11164 MAI11171 A.LAHIMER, P.LOPEZ, M.HAOUARI Climbing depth-bounded adjacent discrepancy search for solving hybrid flow shop scheduling problems with multiprocessor tasks M.GODICHAUD, E.CHANTHERY, Formalizing and solving information collection O.BUFFET, M.CONTAT problems with autonomous sensor systems Y.EBIHARA, D.PEAUCELLE, L1 gain analysis of linear positive systems and D.ARZELIER its application MAI11172 J.F.TREGOUET, D.ARZELIER, D.PEAUCELLE, Y.EBIHARA, C.PITTET, A.FALCOZ Periodic FIR controller synthesis for discretetime uncertain linear systems MAI11202 L.DOUAT, I.QUEINNEC, G.GARCIA, M.MICHELIN, F.PIERROT N.BELARD , Y.PENCOLE, M.COMBACAU Piezo-actuated vibration attenuation of the parallel robot Par2 MAI11310 A theory of meta-diagnosis: reasoning about diagnostic systems International Conference CPAIOR 2011, Berlin (Allemagne), 23-27 Mai 2011, pp.117-130 World IFAC Congress (IFAC 2011), Milan (Italie), 28 Août - 2 Septembre 2011, pp.2208-2213 IEEE Conference on Decision and Control and European Control Conference (CDC-ECC 2011), Orlando (USA), 12-15 Décembre 2011, pp.40294034 IEEE Conference on Decision and Control and European Control Conference (CDC-ECC 2011), Orlando (USA), 12-15 Décembre 2011, pp.13671372 IFAC Symposium on Robust Control Design (ROCOND 2012), Aalborg (Danemark), 20-22 Juin 2012, pp.115-120 International Joint Conference on Artificial Intelligence (IJCAI'11), Barcelone (Espagne), 16-22 Juillet 2011, 6p. International ESA Conference on Guidance and Navigation Control Systems (GNC 2011), Karlovy Vary (République Tchèque), 5-10 Juin 2011, 24p. World IFAC Congress (IFAC 2011), Milan (Italie) , 28 Août - 2 Septembre 2011, pp.6301-6306 World IFAC Congress (IFAC 2011), Milan (Italie), 28 Août - 2 Septembre 2011, pp.2395-2400 IEEE Conference on Decision and Control and European Control Conference (CDC-ECC 2011), Orlando (USA, 12-15 Décembre 2011, pp.6876-6881 MAI11327 C.LOUEMBET, D.ARZELIER, Robust renvezvous planning under navigation G.DEACONU, P.BLANC-PAQUES and maneuvering errors MAI11371 C.PRIEUR, S.TARBOURIECH, L.ZACCARIAN L.HETEL, J.DAAFOUZ, S.TARBOURIECH, C.PRIEUR J.F.TREGOUET, D.ARZELIER, D.PEAUCELLE, Y.EBIHARA, C.PITTET, A.FALCOZ Improving the performance of linear systems by adding a hybrid loop Reset control systems: stabilization by nearlyperiodic reset Periodic H2 synthesis for spacecraft attitude control with magnetorquers and reaction wheels MAI11444 G.SYNNAEVE, K.INOUE, A.DONCESCU, H.NABESHIMA, Y.KAMEYA, M.ISHIHATA, T.SATO C.BRIAND, J.C.BILLAUT MAI11445 J.C.BILLAUT, P.LOPEZ MAI11462 A.LAHIMER, P.LOPEZ, M.HAOUARI MAI11496 D.GRIMES, E.HEBRARD MAI11534 N.BELARD , Y.PENCOLE, M.COMBACAU MAI11535 R.JAUBERTHIE, Y.MELINGE, C.JAUBERTHIE, R.BACHIR M.CLAEYS, D.ARZELIER, D.HENRION, J.B.LASSERRE Kinetic models and qualitative abstraction for International Conference on Biomedical Engineering relational learning in systems biology Systems and Technologies (BIOSTEC 2011), Rome (Italie), 26-29 Janvier 2011, 8p. Cooperative project scheduling with controllable Emerging Technologies and Factory Automation processing times: a game theory framework (ETFA'2011), Toulouse (France), 5-9 Septembre 2011, 7p. Characterization of all rho approximated Emerging Technologies and Factory Automation sequences for some scheduling problems (ETFA'2011), Toulouse (France), 5-9 Septembre 2011, 6p. Ordonnancement d'atelier de type flow shop Congrès International de Génie Industriel (CIGI hybride avec tâches multiprocesseurs 2011), Saint Sauveur (Canada), 12-14 Octobre 2011, 7p. Models and strategies for variants of the job Principles and Practice of Constraint Programming shop scheduling problem CP 2011, Perugia (Italie), 12-16 Septembre 2011, pp.356-372 MEDITO: a logic-based meta diagnosis tool IEEE International Conference on Tools with Artificial Intelligence (ICTAI 2011), Boca Raton (USA), 7-11 Novembre 2011, 8p. Gestion patrimoniale des systèmes Génie Civil et Développement Durable (GCDD d'assainissement 2011), Tebessa (Algérie), 17-19 Octobre 2011, 6p. Measures and LMI for impulsive optimal control American Control Conference (ACC 2012), Montréal with applications to space rendezvous problems (Canada), 27-29 Juin 2012, pp.161-166 MAI11374 MAI11379 MAI11428 MAI11554 MAI11558 F.FICHERA, C.PRIEUR, Improving the performance of linear systems by American Control Conference (ACC 2012), Montréal S.TARBOURIECH, L.ZACCARIAN adding a hybrid loop: the output feedback case (Canda), 27-29 Juin 2012, pp.3192-3197 MAI11586 H.E.GOUGAM, A.SUBIAS, Y.PENCOLE MAI11588 MAI11589 MAI11593 MAI11594 MAI11596 Timed diagnosability chronicles analysis based on IFAC International Symposium on Fault Detection Supervision and Safety of Technical Processes (SAFEPROCESS'2012), Mexico (Mexique), 29-31 Août 2012, pp.1256-1261 N.VERDIERE, C.JAUBERTHIE, Fault detection and identification relying on SM IFAC International Symposium on Fault Detection L.TRAVE-MASSUYES identifiability Supervision and Safety of Technical Processes (SAFEPROCESS'2012), Mexico (Mexique), 29-31 Août 2012, pp.1262-1267 R.PONS, A.SUBIAS, L.TRAVEHybrid causal model based diagnosis IFAC International Symposium on Fault Detection MASSUYES Supervision and Safety of Technical Processes (SAFEPROCESS'2012), Mexico (Mexique), 29-31 Août 2012, pp.678-683 G.DEACONU, C.LOUEMBET, Constrained periodic spacecraft relative motion American Control Conference (ACC 2012), Montréal A.THERON using non-negative polynomials (Canada), 27-29 Juin 2012, pp.6715-6720 G.DEACONU, C.LOUEMBET, Sécurisation passive du rendez-vous orbital par Conférence Internationale Francophone A.THERON des polynômes non négatifs d'Automatique (CIFA2012), Grenoble (France), 4-6 Juillet 2012, pp.811-816 M.FIACCHINI, S.TARBOURIECH, Invariance of symmetric convex sets for discrete-IEEE Conference on Decision and Control and C.PRIEUR time saturated systems European Control Conference (CDC-ECC 2011), Orlando (USA), 12-15 Décembre 2011, pp.73437348 Scientific Production — 78 MAI11597 MAI11599 MAI11607 MAI11609 C.OLALLA MARTINEZ, I.QUEINNEC, R.LEYVA Robust gain-scheduled control converters: an LMI approach of DC-DC IEEE International Conference on Control Applications (CCA 2011), Denver (USA), 28-30 Septembre 2011, pp.1425-1430 Y.PECHAUD, Y.BESSIERE, Improving fighting against biofilms by combining IWA Biofilm Conference 2011 : Processes in Biofilms C.E.MARCATO-ROMAIN, hydrodynamic and enzymatic treatments: (IWA Biofilm2011), Shanghai (Chine), 27-30 Octobre V.LETISSE, I.QUEINNEC, E.PAUL analysis of detechment mechanisms based on 2011, 2p. the properties of both biofilm and molecules Y.EBIHARA, D.PEAUCELLE, Optimal L1-controller synthesis for positive D.ARZELIER systems and its robustness properties J.I.VENTO MALDONADO, V.PUIG, Fault detection and isolation of hybrid systems R.SARRATE-ESTRUCH, L.TRAVE- using diagnosers that reason on components MASSUYES American Control Conference (ACC 2012), Montréal (Canada), 27-29 Juin 2012, pp.5992-5997 IFAC International Symposium on Fault Detection Supervision and Safety of Technical Processes (SAFEPROCESS'2012), Mexico (Mexique), 29-31 Août 2012, pp.1250-1255 IFAC International Symposium on Fault Detection Supervision and Safety of Technical Processes (SAFEPROCESS'2012), Mexico (Mexique), 29-31 Août 2012, pp.271-276 IFAC Symposium on Information Control Problems in Manufacturing (INCOM 2012), Bucarest (Roumanie), 23-25 Mai 2012, 8p. IFAC International Symposium on Fault Detection Supervision and Safety of Technical Processes (SAFEPROCESS'2012), Mexico (Mexique), 29-31 Août 2012, pp.228-233 International conference on Sciences and Techniques of Automatic control & computer engineering (STA 2011), Sousse (Tunisie), 18-20 Décembre 2011, 11p. Embedded Real Time Software and Systems (ERTS2 2012), Toulouse (France), 1-3 Février 2012, 10p. Emerging Technologies and Factory Automation (ETFA'2011), Toulouse (France), 5-9 Septembre 2011, 7p. International Workshop on Information Security, Theory and Practice (ISTP 2011), Abu Dhabi (Emirats Arabes Unis), 12-13 Décembre 2011, 5p. IFAC Symposium on Information Control Problems in Manufacturing (INCOM 2012), Bucarest (Roumanie), 23-25 Mai 2012, 6p. Conférence Internationale Francophone d'Automatique (CIFA2012), Grenoble (France), 4-6 Juillet 2012, pp.913-918 International Workshop on Freight Transportation and Logistics (ODYSSEUS 2012), Kykonos (Grèce), 21-25 Mai 2012, 4p. IEEE Conference on Decision and Control and European Control Conference (CDC-ECC 2011), Orlando (USA), 12-15 Décembre 2011, pp.47974802 Conférence Méditerranéenne sur l'Ingénierie Sûre des Systèmes Complexes (MISC'11), Agadir (Maroc), 27-28 Mai 2011, 7p. International Symposium on Nonlinear Theory and its Applications (NOLTA 2011), Kobe (Japon), 4-7 Septembre 2011, 4p. European Conference for Aerospace Sciences (EUCASS 2011), Saint Petersbourg (Russie), 4-8 Juillet 2011, 15p. Chinese Control and Decision Conference ( CCDC ) 2011 du 23 mai au 25 mai 2011, Mianyang (Chine), Mai 2011, pp.4311-4316 IEEE International Conference on Industrial Engineering and Engineering Management ( IEEM ) 2011 du 06 décembre au 09 décembre 2011, Singapour (Singapour), Décembre 2011, pp.101-105 MAI11610 M.MAIGA, E.CHANTHERY, L.TRAVE-MASSUYES Hybrid system diagnosis: test of the diagnoser HYDIAG on a benchmark of the international diagnostic competition DXC'2011 MAI11611 H.GHARBI, C.MERCE, G.FONTAN, M.MOALLA Decision-making and reactive tactical planning approach MAI11620 C.A.ACEVES-LARA, D.FRAGKOULIS, G.ROUX, B.DAHHOU Single and multiple faults in system actuators and sensors for ethanol production MAI11621 F.SALLEM, B.DAHHOU, G.ROUX, Actuators faults detection and isolation for A.KAMOUN nonlinear systems based on adaptive observers MAI11642 R.PONS, A.SUBIAS, L.TRAVEMASSUYES Hybrid causal model based diagnosis. Application to automotive embedded functions MAI11650 P.LACOMME, N.TCHERNEV, M.J.HUGUET Dedicated constraint propagation for job-shop problem with generic time-lags MAI11661 D.FOURNIER-PRUNARET, P.CHARGE, L.GARDINI Chaos generation from 1D or 2D circuits including switches MAI11662 M.FINK, T.BEN RAHHOU, L.HOUSSIN A new procedure for the cyclic job shop problem MAI11664 T.BEN RAHHOU, M.FINK, L.HOUSSIN Une procédure pour la résolution du problème de jobshop cyclique MAI11683 S.U.NGUEVEU , M.LACROIX Flow-based mathematical formulation and strengthening cuts for the cumulative CVRP MAI11703 M.Z.OLIVEIRA, J.M.GOMES DA SILVA Jr, D.F.COUTINHO, S.TARBOURIECH Anti-windup design for a class of multivariable nonlinear control systems: an LMI-based approach MAI11713 F.EL GUEZAR, H.BOUZAHIR, D.FOURNIER-PRUNARET Simulation précise des systèmes planaires affines par morceaux MAI11714 D.FOURNIER-PRUNARET, P.CHARGE Bifurcation structure in a circuit modeled by a 1dimensional piecewise linear map MAI11754 S.INDRA, L.TRAVE-MASSUYES, E.CHANTHERY MAI11891 F.MORA CAMINO, S.SIMOES CUNHA, A.DONCESCU A decentralized FDI scheme for spacecraft: bridging the gap between model based FDI research & practice Aircraft flight management with actuator major failure MAI11893 R.LEUS, C.ARTIGUES, F.T.NOBIBON Robust optimization for resource-constrained project scheduling with uncertain activity durations MAI11898 A.DROUIN, S.SIMOES CUNHA, ABRANDAO RAMOS, F.MORA CAMINO C.JAUBERTHIE, N.VERDIERE, L.TRAVE-MASSUYES Differential flatness and control of nonlinear Chinese Control Conference ( CCC ) 2011 du 22 systems juillet au 24 juillet 2011, Yantai (Chine), Juillet 2011, pp.643-648 Set-membership identifiability and guaranteed IFAC Symposium on System Identification (SYSID parameter estimation for nonlinear uncertain 2012), Bruxelles (Belgique), 11-13 Juillet 2012, dynamical systems pp.434-439 MAI12007 hybrides Scientific Production — 79 MAI12027 MAI12079 MAI12084 MAI12087 MAI12116 MAI12171 MAI12194 MAI12218 MAI12225 MAI12227 MAI12229 MAI12237 MAI12244 MAI12248 MAI12249 MAI12250 MAI12251 MAI12253 MAI12258 MAI12271 MAI12281 MAI12286 MAI12295 MAI12308 MAI12318 L.BERGHMAN, C.BRIAND, R.LEUS, P.LOPEZ The truck scheduling problem at cross-docking International Conference on Project Management terminals and Scheduling (PMS 2012), Louvain (Belgique), 1-4 Avril 2012, pp.90-93 S.RAHME, L.GALLON, Y.LABIT, Détection de Dénis de Service (DdS) dans une Conférence Internationale Francophone F.GOUAISBAUT architecture TCP par un observateur glissant d'Automatique (CIFA2012), Grenoble (France), 4-6 Juillet 2012, pp.937-942 Z.LI, B.DAHHOU, Q.LI A fault isolation method using interval arithmetic Chinese Control and Decision Conference (CCDC for nonlinear dynamic systems 2012), Taiyuan (Chine), 23-25 Mai 2012, 5p. J.F.TREGOUET, Y.EBIHARA, Robust stability of periodic systems with IFAC Symposium on Robust Control Design D.ARZELIER, D.PEAUCELLE, memory: new formulations, analysis and design (ROCOND 2012), Aalborg (Danemark), 20-22 Juin C.PITTET, A.FALCOZ results 2012, pp.672-677 S.INDRA, L.TRAVE-MASSUYES, Decentralized diagnosis with isolation on IFAC International Symposium on Fault Detection E.CHANTHERY request for spacecraft Supervision and Safety of Technical Processes (SAFEPROCESS'2012), Mexico (Mexique), 29-31 Août 2012, pp.283-288 J.C.BILLAUT, E.HEBRARD, Complete characterization of near-optimal International Conference on Integration of AI and OR P.LOPEZ sequences for the two-machine flow shop Techniques in Constraint Programming for scheduling problem Combinatorial Optimization Problems ( CPAIOR ) 2012 du 28 mai au 01 juin 2012, Nantes (France), Mai 2012, pp.66-80 K.KIATMANAROJ, C.ARTIGUES, Hybrid discrete-continuous optimization for the IFAC Symposium on Information Control Problems L.HOUSSIN, F.MESSINE frequency assignment problem in satellite in Manufacturing (INCOM 2012), Bucarest communication system (Roumanie), 23-25 Mai 2012, 6p. M.TROJET, F.H'MIDA, P.LOPEZ, Planification multi-site : modélisation sous forme International Conference on Modeling Optimization P.ESQUIROL d'un problème de satisfaction de contraintes & SIMulation (MOSIM 2012), Bordeaux (France), 6-8 dynamiques Juin 2012, 10p. F.FICHERA, C.PRIEUR, On hybrid state-feedback loops based on a IFAC Conference on Analysis and Design of Hybrid S.TARBOURIECH, L.ZACCARIAN dwell-time logic Systems (ADHS'12), Eindhoven (Pays Bas), 6-8 Juin 2012, 6p. A.LUZI, D.PEAUCELLE, Commande adaptive structurée avec o- Conférence Internationale Francophone J.M.BIANNIC, J.MIGNOT modification et application au contrôle d'attitude d'Automatique (CIFA2012), Grenoble (France), 4-6 satellite Juillet 2012, pp.394-399 A.LUZI, J.M.BIANNIC, Time varying attitude control strategies for the IEEE Multi-conference on Systems and Control ( D.PEAUCELLE, J.MIGNOT Myriade satellites IEEE MSC ) 2012 du 03 octobre au 05 octobre 2012, Dubrovnik (Croatie), Octobre 2012, 13p. D.HENRION, M.GANETMeasures and LMI for space launcher robust IFAC Symposium on Robust Control Design SCHOELLER, S.BENNANI control validation (ROCOND 2012), Aalborg (Danemark), 20-22 Juin 2012, pp.230-235 D.PEAUCELLE, Evaluating regions of attraction of LTI systems IFAC Symposium on Robust Control Design S.TARBOURIECH, M.GANETwith saturation in IQS framework (ROCOND 2012), Aalborg (Danemark), 20-22 Juin SCHOELLER, S.BENNANI 2012, pp.236-241 F.S.PANNI, D.ALBERER, Set point regulation of an EGR valve using a American Control Conference (ACC 2012), Montréal L.ZACCARIAN FORE with hybrid input bias estimation (Canada), 27-29 Juin 2012, pp.3192-3197 T.E.PASSENBRUNNER, Nonlinear setpoint regulation of dynamically American Control Conference (ACC 2012), Montréal M.SASSANO, L.ZACCARIAN redundant actuators (Canada), 27-29 Juin 2012, pp.973-978 G.VALMORBIDA, Synthesis of polynomial static state feedback American Control Conference (ACC 2012), Montréal S.TARBOURIECH, G.GARCIA, laws and analysis for discrete-time polynomial (Canada), 27-29 Juin 2012, pp.2325-2330 L.ZACCARIAN systems with saturating inputs F.FORNI, A.R.TEEL, Global tracking and state estimation with IFAC Conference on Analysis and Design of Hybrid L.ZACCARIAN nonsmooth impacts for a mass confined to an n- Systems (ADHS'12), Eindhoven (Pays Bas), 6-8 dimensional half-space Juin 2012, 6p. D.NESIC, A.R.TEEL, On finite gain Lp stability for hybrid systems IFAC Conference on Analysis and Design of Hybrid G.VALMORBIDA, L.ZACCARIAN Systems (ADHS'12), Eindhoven (Pays Bas), 6-8 Juin 2012, 6p. A.SEURET, F.GOUAISBAUT On the use of the Wirtinger inequalities for time- IFAC Workshop on Time Delay Systems 2012, delay systems Boston (USA), 22-24 Juin 2012, 6p. J.XIONG, C.JAUBERTHIE, New computation aspects for existing interval IFAC Conference on Control Applications of L.TRAVE-MASSUYES Kalman filtering and application Optimization (CAO 2012), Rimini (Italie), 13-16 Septembre 2012, 6p. M.ALVAREZ DE LA An extended chronicle discovery approach to International Conference on Energy Efficiency and CONCEPCION, A.SUBIAS, find temporal patterns between sequences Sustainability in Ambient Intelligence (JARCA 2012), L.TRAVE-MASSUYES, Tarragona (Espagne), 25-27 Juin 2012, 2p. L.GONZALEZ-ABRIL, P.LACOMME, N.TCHERNEV, Job-shop with generic time-lags: a heuristic International Conference on Modeling Optimization M.J.HUGUET based approach & SIMulation (MOSIM 2012), Bordeaux (France), 6-8 Juin 2012, 8p. J.A.GONZALEZ PRIETO, Delay-dependent stability of reset control IFAC Symposium on Robust Control Design A.BARREIRO, S.DORMIDO, systems with anticipative reset conditions (ROCOND 2012), Aalborg (Danemark), 20-22 Juin S.TARBOURIECH 2012, pp.213-218 J.F.TREGOUET, D.ARZELIER, Robust H-infinity performance of periodic IEEE Conference on Decision and Control ( CDC ) D.PEAUCELLE, Y.EBIHARA, systems with memory: new formulations, 2012 du 10 décembre au 13 décembre 2012, Maui C.PITTET, A.FALCOZ analysis and design results (USA), Décembre 2012, 7p. M.GUEMRI, S.CAUX, Heuristics and lower bound for energy International Conference on Modeling Optimization S.U.NGUEVEU , F.MESSINE management in hybrif-electric vehicles & SIMulation (MOSIM 2012), Bordeaux (France), 6-8 Juin 2012, 10p. Scientific Production — 80 MAI12323 K.KIATMANAROJ, C.ARTIGUES, L.HOUSSIN, E.CORBEL MAI12324 K.KIATMANAROJ, C.ARTIGUES, L.HOUSSIN, F.MESSINE C.M.AGULHARI, G.GARCIA, S.TARBOURIECH, P.L.D.PERES MAI12327 MAI12340 P.TANGPATTANAKUL, N.JOZEFOWIEZ, P.LOPEZ MAI12343 M.SIALA, E.HEBRARD, M.J.HUGUET MAI12344 G.SIMONIN, C.ARTIGUES, E.HEBRARD, P.LOPEZ MAI12391 O.GUENOUNOU, B.DAHHOU, B.ATHMANI MAI12394 J.M.BIANNIC, L.BURLION, S.TARBOURIECH, G.GARCIA Y.EBIHARA, D.PEAUCELLE, D.ARZELIER MAI12426 MAI12434 G.DEACONU, C.LOUEMBET, A.THERON MAI12435 M.POCQUET, A.FILALI, Y.BESSIERE, L.GUO, I.QUEINNEC, P.A.VANROLLEGHEM, M.SPERANDIO Y.PENCOLE MAI12453 MAI12459 MAI12483 MAI12488 MAI12532 MAI12535 MAI12536 MAI12537 MAI12538 MAI12543 MAI12552 Greedy algorithms for time-frequency allocation International Conference on Modeling Optimization in a SDMA satellite communication system & SIMulation (MOSIM 2012), Bordeaux (France), 6-8 Juin 2012, 10p. Frequency allocation in a SDMA satellite IEEE International Conference on Communications communication system with beam moving (ICC 2012), Ottawa (Canada), 10-15 Juin 2012, 5p. A numerical procedure to compute stabilizing IFAC Symposium on Robust Control Design state feedback gains for linear time-varying (ROCOND 2012), Aalborg (Danemark), 20-2 Juin periodic systems 2012, pp.666-671 Multi-objective optimization for selecting and International Conference on Parallel Problem scheduling observations Solving From Nature (PPSN 2012), Taormina (Italie) Lecture Notes in Computer Science 7492, Coello Coello, C.A.; Cutello, V.; Deb, K.; Forrest, S.; Nicosia, G.; Pavone, M. (Eds.), 1-5 Septembre 2012, pp.112-121 An optimal arc consistency algorithm for a chain International Conference on Principles and Practice of atmost constraints with cardinality of Constraint Programming (CP) 2012 du 08 octobre au 12 octobre 2012, Québec (Canada), Article primé "Honorable Mention", Octobre 2012, 15p. Scheduling scientific experiments on the International Conference on Principles and Practice Rosetta/Philae mission of Constraint Programming (CP) 2012 du 08 octobre au 12 octobre 2012, Québec (Canada), Lecture Notes in Computer Science 7514, Principles and Practice of Constraint Programming, M. Milano (Eds), 2012, pp.23-37 Optimal design of PID controller by multi- International Conference on Computer Related objective genetic algorithms Knowledge (ICCRK' 2012), Sousse (Tunisie), 5-7 Juillet 2012, 6p. On dynamic inversion with rate limitations American Control Conference (ACC 2012), Montréal (Canada), 27-29 Juin 2012, pp.191-196 Decentralized control of interconnected positive IEEE Conference on Decision and Control ( CDC ) systems using L1 gain characterization 2012 du 10 décembre au 13 décembre 2012, Maui (USA), Décembre 2012, 6p. A two-impulse method for stabilizing the IEEE Conference on Decision and Control ( CDC ) spacecraft relative motion with respect to a 2012 du 10 décembre au 13 décembre 2012, Maui periodic trajectory (USA), Décembre 2012, 6p. Analysis and modelling of process conditions IWA Nutrient Removal and Recovery ( IWANRR ) influencing N2O emission by aerobic granular 2012 du 23 septembre au 25 septembre 2012, sludge processes for N/DN treatment Harbin (Chine), Septembre 2012, 12p. Fault diagnosis in discrete-event systems: how Diagnostic REAsoning: Model Analysis and to analyse algorithm performance? Performance (DREAMAP 2012), Montpellier (France), 27-28 Août 2012, 7p. L.DOUAT, I.QUEINNEC, Anti-windup design for saturation management IFAC Symposium on System Structure and Control ( G.GARCIA, M.MICHELIN, during piezo-actuated vibration attenuation of SSSC ) 2013 du 04 février au 06 février 2013, F.PIERROT, S.TARBOURIECH the high-speed parallel robot Par2 Grenoble (France), Février 2013, 6p. N.BELARD , Y.PENCOLE, Meta-diagnosis in FDI: reasoning about false IFAC International Symposium on Fault Detection M.COMBACAU analytical redundancy relations Supervision and Safety of Technical Processes (SAFEPROCESS'2012), Mexico (Mexique), 29-31 Août 2012, 6p. D.HENRION, M.KORDA Convex computation of the region of attraction European Control Conference ( ECC ) 2013 du 17 of polynomial control systems juillet au 19 juillet 2013, Zurich (Suisse), Juillet 2013, 29p. S.INDRA, V.ALBERT, L.TRAVEDeveloping a testbed for spacecraft fault Workshop on Simulation for European Space MASSUYES diagnosos research Programmes (SESP 2012), Noordwijk (Pays Bas), 25-27 Septembre 2012, 8p. F.FORNI, L.ZACCARIAN, Antiwindup regulation of saturated linear IEEE Conference on Decision and Control ( CDC ) R.SEPULCHRE systems 2012 du 10 décembre au 13 décembre 2012, Maui (USA), Décembre 2012, 6p. M.RUBAGOTTI, L.ZACCARIAN, Stability analysis of discrete-time piecewise- IEEE Conference on Decision and Control ( CDC ) A.BEMPORAD affine systems over non invariant domains 2012 du 10 décembre au 13 décembre 2012, Maui (USA), Décembre 2012, 6p. C.PRIEUR, S.TARBOURIECH, Hybrid high-gain observers without peaking for IEEE Conference on Decision and Control ( CDC ) L.ZACCARIAN planar nonlinear systems 2012 du 10 décembre au 13 décembre 2012, Maui (USA), Décembre 2012, 6p. F.FICHERA, C.PRIEUR, A convex hybrid H_infty synthesis with IEEE Conference on Decision and Control ( CDC ) S.TARBOURIECH, L.ZACCARIAN guaranteed convergence rate 2012 du 10 décembre au 13 décembre 2012, Maui (USA), Décembre 2012, 6p. M.GUEMRI, S.CAUX, Using Quasi-Newton method for energy International Conference on Environment and S.U.NGUEVEU management in electrical multi source systems Electrical Engineering (EEEIC 2012), Venise (Italie), 17-19 Mai 2012, 6p. E.BLOKHINA, D.FOURNIERCombined mechanical and circuitry IEEE International Symposium on Circuits and PRUNARET, P.HARTE, nonlinearities in electrostatic vibration energy Systems ( ISCAS ) 2013 du 19 mai au 23 mai 2013, D.GALAYKO, O.FEELY harvesters Beijing (Chine), Mai 2013, Paper ID 1118, 5p. Scientific Production — 81 MAI12560 H.SARMIENTO MALDONADO, C.ISAZA NARVAEZ, T.KEMPOWSKY Functional state estimation methodology based Ibero-American Conference on Artificial Intelligence ( on fuzzy clustering for complex process IBERAMIA ) 2012 du 13 novembre au 16 novembre monitoring 2012, Carthagène (Colombie), Lecture Notes in Computer Science, Volume 7637, 2012, 10p. MAI12578 C.VALLE, T.KEMPOWSKY, L.HEDJAZI, M.V.LE LANN, S.LAMARRE, L.TROUILH, L.ROGER, L.MHAMDI, F.DALENC, M.LACROIX-TRIKI, G.FAVRE, V.LE BERRE-ANTON K.FELTEKH, D.FOURNIERPRUNARET, S.BELGHITH, Z.BEN JEMAA K.FELTEKH, D.FOURNIERPRUNARET, S.BELGHITH New prognostic gene signatures for breast International Symposium New Frontiers in Our cancer recurrence based on a fuzzy logic Understanding and Treatment of Can 2012 du 01 selection octobre au 02 octobre 2012, Toulouse (France), Toulouse (France), 2012, 2p. MAI12587 MAI12588 MAI12592 MAI12595 MAI12615 MAI12633 MAI12635 Comparison of random and deterministic characteristics of chaotic signals issued from a one-dimensional piecewise linear map Analysis of chaotic signals issued from onedimensional continuous piecewise linear maps with three slopes O.LOPEZ SANTOS, L.MARTINEZ Sliding-mode indirect control of the quadratic SALAMERO, G.GARCIA, boost converter operating in continuous H.VALDERRAMA-BLAVI conduction mode or discontinuous conduction mode J.VIZCARRONDO, J.AGUILAR, ARMISCOM: Autonomic Reflective MIddleware E.EXPOSITO, A.SUBIAS for management service COMposition Global Information Infrastructure and Networking Symposium ( GIIS ) 2012 du 17 décembre au 19 décembre 2012, Choroni (Venezuela), Décembre 2012, 8p. J.AGUILAR, A.SUBIAS, L.TRAVE- Situation assessment in autonomous systems Global Information Infrastructure and Networking MASSUYES, K.ZOUAOUI Symposium ( GIIS ) 2012 du 17 décembre au 19 décembre 2012, Choroni (Venezuela), Décembre 2012, 6p. M.R.ADBALMOATI, D.HENRION, Measures and LMIs for optimal control of European Control Conference ( ECC ) 2013 du 17 L.RODRIGUES piecewise-affine systems juillet au 19 juillet 2013, Zurich (Suisse), Juillet 2013, 18p. M.KORDA, D.HENRION, Inner approximations of the region of attraction IFAC Symposium on Nonlinear Control Systems ( C.N.JONES for polynomial dynamical systems NOLCOS ) 2013 du 04 septembre au 06 septembre 2013, Toulouse (France), Septembre 2013, 15p. MAI12636 F.DABBENE, D.HENRION MAI12642 C.ARTIGUES, Y.DESWARTE, J.GUIOCHET, M.J.HUGUET, M.O.KILLIJIAN, D.POWELL, M.ROY, C.BIDAN, N.PRIGENT, E.ANCEAUME, S.GAMBS, G.GUETTE, M.HURFIN, F.SCHETTINI P.J.ANDRIANIAINA, A.SEURET, D.SIMON MAI12655 MAI12668 MAI12675 MAI12693 MAI12743 MAI12820 MAI12835 MAI12845 International Symposium on Nonlinear Theory and its Applications NOLTA 2012 du 22 octobre au 26 octobre 2012, Palma Majorca (Espagne), 2012, 4p. International Conference on Complex Systems ICCS 2012 du 05 novembre au 06 novembre 2012, Agadir (Maroc), Novembre 2012, 4p. IEEE Colombian Workshop on Circuits and Systems CWCAS 2012 4 du 01 novembre au 02 novembre 2012, Barranquilla (Colombie), Novembre 2012, 6p. Set approximation via polynomial sublevel sets minimum-volume European Control Conference ( ECC ) 2013 du 17 juillet au 19 juillet 2013, Zurich (Suisse), Juillet 2013, 11p. AMORES: an Architecture for MObiquitous European Dependable Computing Conference REsilient Systems (EDCC) - 1st European Workshop on AppRoaches to MObiquiTous Resilience ( EDCC - ARMOR ) 2012 du 08 mai au 11 mai 2012, Sibiu (Roumanie), Mai 2012, 6p. Commande robuste avec relâchement des Conférence Internationale Francophone contraintes temps-réel d'Aautomatique ( CIFA ) 2012 du 04 juillet au 06 juillet 2012, Grenoble (France), Décembre 2012, 6p. C.PIRA, C.ARTIGUES An efficient best response heuristic for a non- Learning and Intelligent OptimizatioN Conference ( preemptive strictly periodic scheduling problem LION ) 2013 du 07 janvier au 11 janvier 2013, Catania (Italie), Lecture Notes in Computer Science 7997, Janvier 2013, pp.281-287 B.M.SARPONG, C.ARTIGUES, The bi-objective multi-vehicle covering tour International Workshop on Freight Transportation N.JOZEFOWIEZ problem: formulation and lower bound and Logistics ( ODYSSEUS ) 2012 du 21 mai au 25 mai 2012, Mykonos (Grèce), 2012, 5p. Y.EBIHARA, D.PEAUCELLE, Stability and persistence analysis of large scale European Control Conference ( ECC ) 2013 du 17 D.ARZELIER interconnected positive systems juillet au 19 juillet 2013, Zurich (Suisse), Juillet 2013, 8p. F.SALLEM, B.DAHHOU, A comparison of two methods for actuators fault International Conference on Sciences and A.KAMOUN detection and isolation for nonlinear systems: Techniques of Automatic control & computer application to a waste water treatment process engineering ( STA ) 2012 du 17 décembre au 19 décembre 2012, Monastir (Tunisie), 2012, 18p. G.VINSON, M.COMBACAU, Permanent magnets synchronous machines Annual Conference of the IEEE Industrial Electronics T.PRADO faults detection and identification Society ( IECON ) 2012 du 25 octobre au 28 octobre 2012, Montréal (Canada), Avril 2013, pp.3925-3930 M.FIGUEIREDO FERNANDEZ, Dynamics of reactive distillation for the European Symposium on Computer Aided Process B.BARROSO, X.M.MEYER, production of ethyl acetate: Engineering (ESCAPE) 2012 du 17 juin au 20 juin M.MEYER, M.V.LE LANN, G.C.LE experiments at a pilot plant and modelling 2012, Londres (UK), Juin 2012, 5p. ROUX, M.BREHELIN G.VINSON, M.COMBACAU, Synchronous machine faults detection and IFAC International Symposium on Fault Detection T.PRADO diagnosis for electro-mechanical actuators in Supervision and Safety of Technical Processes ( aeronautics SAFEPROCESS ) 2012 du 29 août au 31 août 2012, Mexico (Mexico), Août 2012, 6p. Scientific Production — 82 MAI12851 C.BRIAND, A.AGNETIS, J.C.BILLAUT The multiagent project scheduling problem: complexity of finding a minimum-makespan Nash equilibrium Fault detection for difference flat systems MAI12866 N.ZHANG, A.DONCESCU, ABRANDAO RAMOS, F.MORA CAMINO MAI12970 Z.WANG, Z.LI, B.DAHHOU Study on the Parameters Estimation Based on Interval Observer MAI13005 A.SEURET, F.GOUAISBAUT Jensen's and Wirtinger's inequalities for timedelay systems MAI13007 C.PIRA, C.ARTIGUES Line search method for solving a nonpreemptive strictly periodic scheduling problem MAI13024 J.B.LASSERRE A generalization of the Löwner-John's ellipsoid theorem MAI13025 L.BAUDOUIN, S.NEILD, A.RONDEPIERRE, D.WAGG Robust measurement feedback control of an inclined cable MAI13042 A.LUZI, A.FRADKOV, J.M.BIANNIC, D.PEAUCELLE Structured adaptive control for solving LMIs MAI13056 B.BONNARD, M.CLAEYS, O.COTS, P.MARTINON Comparison of numerical methods contrast imaging problem in NMR MAI13058 M.CLAEYS, D.ARZELIER, D.HENRION, J.B.LASSERRE Moment LMI approach to LTV impulsive control MAI13072 D.HENRION, J.DAAFOUZ, M.CLAEYS Optimal switching control design for polynomial systems: an LMI approach MAI13080 Y.EBIHARA, D.PEAUCELLE, D.ARZELIER Analysis and synthesis of positive systems with switching MAI13095 A.LUZI, J.MIGNOT, D.PEAUCELLE, J.M.BIANNIC Structured adaptive attitude control applied on a Myriade simulation benchmark MAI13100 J.XIONG, C.JAUBERTHIE, L.TRAVE-MASSUYES Improvements in computational aspects of interval Kalman Filtering enhanced by constraint propagation MAI13101 O.LOPEZ SANTOS, L.MARTINEZ Sliding-mode control of a transformer-less dualSALAMERO, G.GARCIA, stage grid-connected photovoltaic micro-inverter H.VALDERRAMA-BLAVI MAI13107 S.INDRA, L.TRAVE-MASSUYES Spacecraft fault detection & isolation system design using decentralized analytical redundancy MAI13110 E.CHANTHERY, P.RIBOT An integrated framework for diagnosis and prognosis of hybrid systems MAI13112 S.STREIF, P.RUMSCHINSKI, D.HENRION, R.FINDEISEN MAI13115 Y.GAOUA, S.CAUX, P.LOPEZ, J.D.SALVANY Estimation of consistent parameter sets for continuous-time nonlinear systems using occupation measures and LMI relaxations On-line HEV energy management using a fuzzy logic MAI13122 M.POCQUET, I.QUEINNEC, M.SPERANDIO Adaptation and identification of models for nitrous oxide (N2O) production by autotrophic nitrite reduction MAI13123 G.VINSON, P.RIBOT, T.PRADO, M.COMBACAU A generic diagnosis and prognosis framework: application to permanent magnets synchronous machines MAI13144 N.NARODYTSKA, T.PETIT, M.SIALA, T.WALSH Three generalizations of the FOCUS constraint in the interconnected Scientific Production — 83 International Conference on Project Management and Scheduling ( PMS ) 2012 du 01 avril au 04 avril 2012, Louvain (Belgique), Avril 2012, 4p. International MultiConference of Engineers and Computer Scientists ( IMECS ) 2012 du 14 mars au 16 mars 2012, Hong Kong (Chine), Mars 2012, pp.938-943 Chinese Control and Decision Conference ( CCDC ) 2012 du 23 mai au 25 mai 2012, Taiyuan (Chine), Mai 2012, pp.1630-1632 IFAC Workshop on Time-Delay Systems 2013 du 04 février au 06 février 2013, Grenoble (France), Février 2013, 6p. Multidisciplinary International Scheduling Conference: Theory & Applications ( MISTA ) 2013 du 27 août au 30 août 2013, Ghent (Belgique), Octobre 2013, pp.356-371 IEEE Conference on Decision and Control ( CDC ) 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.415-420 IFAC Workshop on Control of Systems Governed by Partial Differential Equations ( CPDE ) 2013 du 25 septembre au 27 septembre 2013, Paris (France), Septembre 2013, 6p. IFAC International Workshop on Adaptation and Learning in Control and Signal Processing ( ALCOSP ) 2013 du 03 juillet au 05 juillet 2013, Caen (France), Juillet 2013, 12p. IEEE Conference on Decision and Control ( CDC ) 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.4523-4528 IEEE Conference on Decision and Control ( CDC ) 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.5810-5815 IEEE Conference on Decision and Control ( CDC ) 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.1349-1354 IEEE Conference on Decision and Control ( CDC ) 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.6372-6378 AIAA Guidance, Navigation and Control Conference ( AIAA GNC ) 2013 du 19 août au 22 août 2013, Boston (USA), Août 2013, 26p. International Workshop IEEE Electronics, Control, Measurement, Signals and their application to Mechatronics ( ECMSM ) 2013 du 24 juin au 26 juin 2013, Toulouse (France), Juin 2013, 6p. International Multi-Conference on Systems, Signals and Devices ( SSD ) 2013 du 18 mars au 21 mars 2013, Hammamet (Tunisie), International Conference on Power Electrical Systems (PES), Mars 2013, 6p. CEAS Specialist Conference on Guidance, Navigation & Control ( CEAS EuroGNC ) 2013 du 10 avril au 12 avril 2013, Delft (Pays Bas), Avril 2013, 19p. Workshop on Hybrid Autonomous Systems ( HAS ) 2013 du 17 mars au 17 mars 2013, Rome (Italie), Mars 2013, 12p. IEEE Conference on Decision and Control ( CDC ) 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.6379-6384 International Conference on Environment and Electrical Engineering ( EEEIC ) 2013 du 05 mai au 08 mai 2013, Wroclaw (Pologne), Mai 2013, 6p. IWA Conference on Instrumentation Control and Automation ( ICA ) 2013 du 18 septembre au 20 septembre 2013, Narbonne (France), Octobre 2013, 4p. Prognostics and System Health Management Conference ( PHM ) 2013 du 08 septembre au 11 septembre 2013, Milan (Italie), Septembre 2013, pp.1039-1044 International Joint Conference on Artificial Intelligence ( IJCAI ) 2013 du 05 août au 09 août 2013, Beijing (Chine), Août 2013, 10p. MAI13145 M.KORDA, D.HENRION, C.N.JONES MAI13154 L.BONCAGNI, D.CARNEVALE, A.CRISTALDI, S.DE MAIO, G.MAZZITELLI, M.SASSANO, V.VITALE, R.VITELLI, L.ZACCARIAN L.BAUDOUIN, S.NEILD, D.WAGG H-infinity control with state feedback of an European Control Conference ( ECC ) 2013 du 17 inclined cable. juillet au 19 juillet 2013, Zurich (Suisse), Juillet 2013, 6p. H.E.GOUGAM, A.SUBIAS, Supervision patterns: formal diagnosability IFAC Workshop on Dependable Control of Discrete Y.PENCOLE checking by petri net unfolding Systems ( DCDS ) 2013 du 04 septembre au 06 septembre 2013, York (UK), Septembre 2013, 6p. F.FICHERA, C.PRIEUR, Static anti-windup scheme for a class of European Control Conference ( ECC ) 2013 du 17 S.TARBOURIECH, L.ZACCARIAN homogeneous dwell-time hybrid controllers juillet au 19 juillet 2013, Zurich (Suisse), Juillet 2013, 6p. C.BESSIERE, T.WALSH, Detecting and exploiting subproblem tractability International Joint Conference on Artificial G.KATSIRELOS, E.HEBRARD, Intelligence ( IJCAI ) 2013 du 05 août au 09 août C.CARBONNEL 2013, Beijing (Chine), Août 2013, 7p. C.BESSIERE, R.COLETTA, Constraint acquisition via partial queries International Joint Conference on Artificial E.HEBRARD, G.KATSIRELOS, Intelligence ( IJCAI ) 2013 du 05 août au 09 août N.LAZAAR, N.NARODYTSKA, 2013, Beijing (Chine), Août 2013, 7p. C.G.QUIMPER, T.WALSH Z.LI, B.DAHHOU, Z.WANG Multi-parameters fault isolation using vertex Chinese Control and Decision Conference ( CCDC ) algorithm 2013 du 25 mai au 27 mai 2013, Guiyang (Chine), Mai 2013, 4p. Y.GAOUA, S.CAUX, P.LOPEZ A combinatorial optimization approach for the International Conference on Operations Research electrical energy management in a multi-source and Enterprise Systems ( ICORES ) 2013 du 16 system février au 18 février 2013, Barcelone (Espagne), Février 2013, pp.55-59 O.LOPEZ SANTOS, Equilibrio de potencia en un inversor multinivel Seminario Annual de Automatica, Electronica e C.A.JACANAMEJOY, G.GARCIA, monofasico asimetrico en cascada optimizando Instrumentacion ( SAAEI ) 2013 du 10 juillet au 12 L.MARTINEZ SALAMERO la funcion de conmutacion juillet 2013, Madrid (Espagne), Juillet 2013, 6p. O.LOPEZ SANTOS, L.MARTINEZ Regulacion PI y control en modo deslizante en Seminario Annual de Automatica, Electronica e SALAMERO, G.GARCIA, un convertidor elevador cuadratico Instrumentacion ( SAAEI ) 2013 du 10 juillet au 12 H.VALDERRAMA-BLAVI juillet 2013, Madrid (Espagne), Juillet 2013, 6p. M.J.LACERDA, S.TARBOURIECH, Hinfinite filter design for nonlinear quadratic IFAC Symposium on Nonlinear Control Systems ( G.GARCIA, P.L.D.PERES systems NOLCOS ) 2013 du 04 septembre au 06 septembre 2013, Toulouse (France), Septembre 2013, 6p. MAI13161 MAI13170 MAI13202 MAI13203 MAI13204 MAI13215 MAI13217 MAI13223 MAI13224 MAI13256 Convex computation of the maximum controlled IEEE Conference on Decision and Control ( CDC ) invariant set for polynomial control systems 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.7107-7112 Temperature control of the FTU liquid lithium European Control Conference ( ECC ) 2013 du 17 limiter juillet au 19 juillet 2013, Zurich (Suisse), Juillet 2013, 6p. MAI13257 V.LEITE, S.TARBOURIECH, G.GARCIA Energy-peak evaluation of nonlinear control IFAC Symposium on Nonlinear Control Systems ( systems under neglected dynamics NOLCOS ) 2013 du 04 septembre au 06 septembre 2013, Toulouse (France), Septembre 2013, 6p. MAI13264 M.GUEMRI, S.CAUX, S.U.NGUEVEU , F.MESSINE A better alternative to dynamic programming for 11th International Workshop IEEE Electronics, offline energy optimization in hybrid-electric Control, Measurement, Signals and their application vehicles to Mechatronics ( ECMSM ) 2013 du 24 juin au 26 juin 2013, Toulouse (France), Juin 2013, 2p. MAI13265 R.BALDACCI, S.U.NGUEVEU , R.WOLFER CALVO MAI13289 MAI13293 MAI13295 MAI13311 MAI13312 Branch-and-cut for the vehicle routing problem Triennal Symposium On Transportation Analysis ( with intermediate facilities TRISTAN ) 2013 du 09 juin au 14 juin 2013, San Pedro de Atacama (Chili), Juin 2013, 4p. C.BRIAND, S.U.NGUEVEU , Solving a cooperative project scheduling with Multidisciplinary International Scheduling P.SUCHA controllable processing times, self-interested Conference: Theory & Applications ( MISTA ) 2013 agents and equal profit sharing du 27 août au 30 août 2013, Ghent (Belgique), Août 2013, 8p. M.J.HUGUET, D.KIRCHLER, The 2-way multi modal shortest path problem Triennal Symposium On Transportation Analysis ( P.PARENT, R.WOLFER CALVO TRISTAN ) 2013 du 09 juin au 14 juin 2013, San Pedro de Atacama (Chili), Juin 2013, 4p. M.J.HUGUET, D.KIRCHLER, Efficient algorithms for the 2-way multi modal International Network Optimization Conference ( P.PARENT, R.WOLFER CALVO shortest path problem INOC ) 2013 du 20 mai au 22 mai 2013, Tenerife (Espagne), Published in a "special issue" of Electronic Notes in Discrete Mathematics (ENDM) devoted to INOC 2013, vol 41, pp..431-437, Mai 2013, 7p. A.SEURET, C.PRIEUR, Event-triggered control with LQ optimality IFAC Symposium on Nonlinear Control Systems ( S.TARBOURIECH, L.ZACCARIAN guarantees for saturated linear systems NOLCOS ) 2013 du 04 septembre au 06 septembre 2013, Toulouse (France), Septembre 2013, 6p. Y.GAOUA, S.CAUX, P.LOPEZ Energy management for an electric vehicle International Conference on Industrial Engineering based on combinatorial modeling and Systems Management ( IESM ) 2013 du 28 octobre au 30 octobre 2013, Rabat (Maroc), Octobre 2013, 9p. Scientific Production — 84 MAI13326 A.SEURET, P.M.PRATHYUSH, C.EDWARDS MAI13327 A.SEURET, F.GOUAISBAUT, E.FRIDMAN LQR performance for multi-agent systems: benefits of introducing delayed inter-agent measurements Stability of systems with fast-varying delay using improved Wirtinger's inequality MAI13328 A.BIT-MONNOT, C.ARTIGUES, M.J.HUGUET, M.O.KILLIJIAN Carpooling : the 2 synchronization points shortest paths problem MAI13349 F.GOUAISBAUT, Y.ARIBA, A.SEURET Bessel inequality for robust stability analysis of time-delay system MAI13363 P.TANGPATTANAKUL, N.JOZEFOWIEZ, P.LOPEZ Biased random key genetic Aalgorithm with hybrid decoding for multi-objective optimization MAI13366 H.MA, P.ACCO, M.L.BOUCHERET, Low complexity TOA estimator for multiuser DSD.FOURNIER-PRUNARET UWB system MAI13367 H.MA, P.ACCO, M.L.BOUCHERET, Multiuser interference mitigation in DS-UWB D.FOURNIER-PRUNARET ranging system MAI13370 H.MA, P.ACCO, M.L.BOUCHERET, Chaos-based TOA estimator for DS-UWB D.FOURNIER-PRUNARET ranging systems in multiusuer environment MAI13381 A.A.MEKONNEN, C.BRIAND, F.LERASLE, A.HERBULOT Fast HOG based person detection devoted to a mobile robot with a spherical camera MAI13386 B.M.SARPONG, C.ARTIGUES, N.JOZEFOWIEZ Column generation for bi-objective vehicle routing problems with a min-max objective MAI13392 R.HAROUN, A.EL AROUDI, A.CID- Large-signal modeling and stability analysis of PASTOR, G.GARCIA, two-cascaded boost converters connected to a L.MARTINEZ SALAMERO PV panel under SMC with MPPT MAI13404 C.JAUBERTHIE, E.CHANTHERY MAI13412 S.ZABI, P.RIBOT, E.CHANTHERY Health monitoring and prognosis of hybrid Annual Conference of the Prognostics and Health systems Management Society ( PHM ) 2013 du 14 octobre au 17 octobre 2013, Nouvelle Orléans (USA), Octobre 2013, 12p. J.VIZCARRONDO, J.AGUILAR, Distributed chronicles for recognition of failures Conferencia Latinoamericana en Informatica ( CLEI ) A.SUBIAS, E.EXPOSITO in web services composition 2013 du 07 octobre au 11 octobre 2013, Naiguata (Venezuela), Octobre 2013, 10p. M.FIACCHINI, C.PRIEUR, Necessary and sufficient conditions for IEEE Conference on Decision and Control ( CDC ) S.TARBOURIECH invariance of convex sets for discrete-time 2013 du 10 décembre au 13 décembre 2013, saturated systems Florence (Italie), Décembre 2013, pp.3788-3793 S.TARBOURIECH, I.QUEINNEC, Stability analysis for systems with saturation and IEEE Conference on Decision and Control ( CDC ) C.PRIEUR backlash in the loop 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.6652-6657 T.RODRIGUEZ, J.AGUILAR, Interpretative ontology. Supervision and Conferencia Latinoamericana en Informatica ( CLEI ) A.RIOS BOLIVAR, F.RIVASdiagnostic 2013 du 07 octobre au 11 octobre 2013, Naiguata ECHEVERRIA, A.SUBIAS (Venezuela), Octobre 2013, 12p. J.F.TREGOUET, D.ARZELIER, Static input allocation for reaction wheels IFAC Symposium on Automatic Control in Aerospace D.PEAUCELLE, L.ZACCARIAN desaturation using magnetorquers ( ACA ) 2013 du 02 septembre au 06 septembre 2013, Wurzburg (Allemagne), Septembre 2013, 6p. MAI13421 MAI13423 MAI13424 MAI13425 MAI13431 MAI13432 MAI13462 Optimal input design for a nonlinear dynamical uncertain aerospace system IEEE Conference on Decision and Control ( CDC ) 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.5150-5155 IEEE Conference on Decision and Control ( CDC ) 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.946-951 Workshop on Algorithmic Approaches for Transportation Modelling, Optimization, and Systems ( ATMOS ) 2013 du 05 septembre au 05 septembre 2013, Sophia Antipolis (France), Septembre 2013, 12p. IEEE Conference on Decision and Control ( CDC ) 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.928-933 Federated Conference on Computer Science and Information Systems - Workshop on Computational Optimization ( FedCSIS - WCO ) 2013 du 08 septembre au 11 septembre 2013, Krakow (Pologne), Septembre 2013, pp.393-400 Workshop on Positioning, Navigation and Communication ( WPNC ) 2013 du 20 mars au 21 mars 2013, Dresde (Allemagne), Mars 2013, 6p. International Symposium on Wireless Communication Systems ( ISWCS ) 2013 du 27 août au 30 août 2013, Ilmeneau (Allemagne), Août 2013, pp.901-905 European Signal Processing Conference ( EUSIPCO ) 2013 du 09 septembre au 13 septembre 2013, Marrakech (Maroc), Septembre 2013, 5p. Intelligent Robots and Systems ( IROS ) 2013 du 03 novembre au 07 novembre 2013, Tokyo (Japon), Novembre 2013, pp.631-637 Workshop on Algorithmic Approaches for Transportation Modelling, Optimization, and Systems ( ATMOS ) 2013 du 05 septembre au 05 septembre 2013, Sophia Antipolis (France), Septembre 2013, OpenAccess Series in Informatics (OASIcs), Vol.33, pp.137-149 Annual Conference of the IEEE Industrial Electronics Society ( IECON ) 2013 du 10 novembre au 13 novembre 2013, Vienne (Autriche), Novembre 2013, 6p. IFAC Symposium on Nonlinear Control Systems ( NOLCOS ) 2013 du 04 septembre au 06 septembre 2013, Toulouse (France), Septembre 2013, 6p. H.KIRCHSTEIGER, A novel online recalibration strategy for Annual International Conference of the IEEE L.ZACCARIAN, E.RENARD, LDEL continuous glucose measurement sensors Engineering in Medicine and Biology Society ( RE employing LMI techniques EMBC ) 2013 du 03 juillet au 07 juillet 2013, Osaka (Japon), Juillet 2013, pp.3921-3924 M.MAIGA, N.RAMDANI, L.TRAVE- A fast method for solving guard set intersection IEEE Conference on Decision and Control ( CDC ) MASSUYES in nonlinear hybrid reachability 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.508-513 Scientific Production — 85 MAI13463 MAI13464 MAI13469 MAI13470 MAI13473 MAI13476 MAI13477 MAI13485 MAI13486 MAI13492 MAI13560 MAI13667 MAI13668 MAI13723 MAI13726 MAI13765 MAI14039 MAI14071 MAI14101 MAI14106 MAI14107 F.TODESCHINI, S.FORMENTIN, G.PANZANI, M.CORNO, S.M.SAVARESI, L.ZACCARIAN F.BIRAL, D.GIOVANNINI, D.MOSER, L.ZACCARIAN Deadzone compensation and design for brake-by-wire systems anti-windup American Control Conference ( ACC ) 2014 du 04 juin au 06 juin 2014, Portland (USA), Juin 2014, 8p. Longitudinal speed control of a prototype vehicle Annual Conference of the IEEE Industrial Electronics via engine map identification and backstepping Society ( IECON ) 2013 du 10 novembre au 13 approach novembre 2013, Vienne (Autriche), Novembre 2013, 6p. G.DE TOMMASI, G.AMBROSINO, Shape control with the XSC during plasma IEEE Conference on Decision and Control ( CDC ) M.ARIOLA, G.CALABRO, current ramp-up and ramp-down at the JET 2013 du 10 décembre au 13 décembre 2013, S.GALEANI, F.MAVIGLIA, tokamak Florence (Italie), Décembre 2013, pp.366-371 A.PIRONTI, F.G.RIMINI, A.C.C.SIPS, G.VARANO, R.VITELLI, L.ZACCARIAN M.SASSANO, L.ZACCARIAN A hybrid anti-windup scheme for output IEEE Conference on Decision and Control ( CDC ) saturated SISO linear closed loops 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.2647-2652 S.FORMENTIN, S.M.SAVARESI, Randomized analysis and synthesis of robust IEEE Conference on Decision and Control ( CDC ) L.ZACCARIAN, F.DABBENE linear static anti-windup 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.4498-4503 F.FERRANTE, F.GOUAISBAUT, Observer-based control for linear systems with European Control Conference ( ECC ) 2014 du 24 S.TARBOURIECH quantized output juin au 27 juin 2014, Strasbourg (France), Juin 2014, 6p. J.XIONG, C.JAUBERTHIE, Fault detection using interval Kalman Filtering IEEE Conference on Decision and Control ( CDC ) L.TRAVE-MASSUYES, F.LE GALL enhanced by contraint propagation 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.490-495 M.HPHAM, A.DONCESCU, Image medical diagnostic using dynamic International Conference on Broadband and S.REGIS programming Wireless Computing, Communications and Applications ( BWCCA ) 2013 du 28 octobre au 30 octobre 2013, Compiègne (France), Octobre 2013, 5p. M.CHASSAING, P.LACOMME, Application of data mining technology for International Conference on Industrial Engineering L.REN, N.TCHERNEV, analyzing job-shop solutions and Systems Management ( IESM ) 2013 du 28 M.J.HUGUET octobre au 30 octobre 2013, Rabat (Maroc), Octobre 2013, 8p. M.M.JOLDES, V.POPESCU, Searching for sinks of Hénon map using a International Symposium on Highly-Efficient W.TUCKER multiple-precision GPU arithmetic library Accelerators and Reconfigurable Technologies ( HEART ) 2014 du 09 juin au 11 juin 2014, Sendai (Japon), Juin 2014 Y.EBIHARA, D.PEAUCELLE, Dominant pole of positive systems with time- European Control Conference ( ECC ) 2014 du 24 D.ARZELIER, F.GOUAISBAUT delays juin au 27 juin 2014, Strasbourg (France), Juin 2014, 8p. L.BRINON ARRANZ, A.SEURET Cooperative translation control based on European Control Conference ( ECC ) 2013 du 17 consensus with reference velocity: a source- juillet au 19 juillet 2013, Zurich (Suisse), Juillet 2013, seeking application 6p. A.SEURET, F.GOUAISBAUT Integral inequality for time-varying delay European Control Conference ( ECC ) 2013 du 17 systems juillet au 19 juillet 2013, Zurich (Suisse), Juillet 2013, 6p. J.B.LASSERRE A Lagrangian relaxation view of linear and IEEE Conference on Decision and Control ( CDC ) semidefinite hierarchies 2013 du 10 décembre au 13 décembre 2013, Florence (Italie), Décembre 2013, pp.1966-1970 J.I.VENTO MALDONADO, Hybrid automation incremental contruction for International Workshop on Principles of Diagnosis ( L.TRAVE-MASSUYES, online diagnosis DX ) 2013 du 01 octobre au 04 octobre 2013, R.SARRATE-ESTRUCH, V.PUIG Jerusalem (Israel), Octobre 2013, 6p. M.F.FERNANDEZ, X.M.MEYER, Integration of controllability and diagnosticability American Institute of Chemical Engineering Annual M.V.LE LANN, N.HUYNH, of reactive distillation column as from conceptual Meeting ( AIChE ) 2013 du 03 novembre au 08 M.BREHELIN, G.C.LE ROUX design step. Application to the production of novembre 2013, San Francisco (USA), Août 2013 ethyl acetate. J.HELLER, D.HENRION, Hand-eye and robot-world calibration by global IEEE International Conference on Robotics and T.PAJDLA polynomial optimization Automation ( ICRA ) 2014 du 31 mai au 05 juin 2014, Hong Kong (Chine), Mai 2014, 18p. N.CHAABANE, C.BRIAND, A multi-agent min-cost flow problem with International Conference on Operations Research M.J.HUGUET controllable capacities: complexity of finding a and Enterprise Systems ( ICORES ) 2014 du 06 maximum-flow nash equilibrium mars au 08 mars 2014, Angers (France), Best Paper Award, Mars 2014, 8p. O.LOPEZ SANTOS, L.MARTINEZ Control de potencia en un microinversor Seminario Annual de Automatica, Electronica e SALAMERO, G.GARCIA, fotovoltaico de los etapas conectado a la red Instrumentacion ( SAAEI ) 2014 du 25 juin au 27 H.VALDERRAMA-BLAVI eléctrica juin 2014, Tanger (Maroc), Juin 2014, 6p. F.FLORES-BAHAMONDE, Analisis de un ondulator boost basado en Seminario Annual de Automatica, Electronica e H.VALDERRAMA-BLAVI, control en modo deslizamiento para energias Instrumentacion ( SAAEI ) 2014 du 25 juin au 27 G.GARCIA, L.MARTINEZ renovables juin 2014, Tanger (Maroc), Juin 2014, 6p. SALAMERO H.E.GOUGAM, A.SUBIAS, Discriminability analysis of supervision patterns IFAC - IEEE International Workshop on Discrete Y.PENCOLE by net unfoldings Event Systems ( WODES ) 2014 du 14 mai au 16 mai 2014, Cachan (France), Mai 2014, 6p. Scientific Production — 86 MAI14130 M.NATTAF, C.ARTIGUES, P.LOPEZ MAI14139 M.M.JOLDES, V.POPESCU, J.M.MULLER MAI14145 M.M.JOLDES, V.POPESCU, W.TUCKER MAI14146 A.SEURET, F.GOUAISBAUT MAI14200 P.P.MENON, A.SEURET, C.EDWARDS MAI14206 C.ARTIGUES, E.HEBRARD, V.MAYER-EICHBERGER , M.SIALA, T.WALSH MAI14246 J.C.BERGES, A.CRISTALDI, C.LOUEMBET, P.R.ARANTES GILZ, D.ARZELIER MAI14247 F.SALLEM, B.DAHHOU, Z.LI, A.KAMOUN MAI14251 C.PRALET, G.VERFAILLIE, A.MAILLARD, E.HEBRARD, N.JOZEFOWIEZ, M.J.HUGUET, T.DESMOUSCEAUX, P.BLANCPAQUES, J.JAUBERT L.HOUSSIN MAI14290 A polynomial satisfiability test using energetic International Conference on Project Management reasoning for energy-constraint scheduling and Scheduling ( PMS ) 2014 du 30 mars au 02 avril 2014, Munich (Allemagne), Mars 2014, Vol.172, pp.169-172 On the computation of the reciprocal of floating IEEE International Conference on Applicationpoint expansions using an adapted Newton- specific Systems, Architectures and Processors ( Raphson iteration ASAP ) 2014 du 18 juin au 20 juin 2014, Zurich (Suisse), Juin 2014, 8p. Searching for sinks of Henon map using a International Symposium on Highly-Efficient multiple-precision GPU arithmetic library Accelerators and Reconfigurable Technologies ( HEART ) 2014 du 09 juin au 11 juin 2014, Sendai (Japon), Juin 2014, 7p. Complete Quadratic Lyapunov functionals using European Control Conference ( ECC ) 2014 du 24 Bessel-Legendre inequality juin au 27 juin 2014, Strasbourg (France), Juin 2014, 6p. Consensus control laws with guaranteed European Control Conference ( ECC ) 2014 du 24 performance in presence of fixed delays juin au 27 juin 2014, Strasbourg (France), Juin 2014, 6p. SAT and hybrid models of the car sequencing International Conference on Integration of Artificial problem Intelligence (AI) and Operations Research (OR) techniques in Constraint Programming ( CPAIOR ) 2014 du 19 mai au 23 mai 2014, Cork (Irelande), Lecture Notes in Computer Science 8451, Mai 2014, pp.268-283 Robust rendezvous planning using model International ESA Conference on Guidance, predictive control: some results from research Navigation & Control Systems ( GNC ) 2014 du 02 and technological studies juin au 06 juin 2014, Porto (Portugal), Juin 2014, 20p. On the integration of actuator fault detection and Mediterranean Conference on Control and isolation in model based fault diagnosis Automation ( MED ) 2014 du 16 juin au 19 juin 2014, Palerme (Italie), Juin 2014, 8p. Satellite data download management with International Conference on Automated Planning uncertainty about the generated volumes and Scheduling ( ICAPS ) 2014 du 21 juin au 26 juin 2014, Portsmouth (USA), Juin 2014, 9p. A heap of pieces model for the cyclic job shop International Conference on Project Management problem and Scheduling ( PMS ) 2014 du 30 mars au 02 avril 2014, Munich (Allemagne), Mars 2014, pp.112115 Buffered resource constraint: algorithms and International Conference on Integration of Artificial complexity Intelligence (AI) and Operations Research (OR) techniques in Constraint Programming ( CPAIOR ) 2014 du 19 mai au 23 mai 2014, Cork (Irelande), Lecture Notes in Computer Science 8451 ; doi 10.1007/978-3-319-07046-9_23, Mai 2014, pp.318333 MAI14346 C.BESSIERE, E.HEBRARD, M.A.MENARD, C.G.QUIMPER, T.WALSH [MAN] Ref Conferences with published proceedings -‐national-‐ Authors Title MAN09696 A.HAMZA, A.KAZEM, S.CHITROUB, G.SALUT MAN09758 P.KAN JOHN, A.GRASTIEN, Y.PENCOLE, P.RIBOT MAN09774 F.BUGARIN, D.HENRION, T.SENTENAC, J.B.LASSERRE, J.J.ORTEU S.RAHME, F.GOUAISBAUT, Y.LABIT A.LAHIMER, P.LOPEZ, M.HAOUARI MAN10239 MAN10749 MAN11027 MAN11203 MAN11355 L.HEDJAZI, T.KEMPOWSKY, M.V.LE LANN, L. DESPENES, S.ELGUE L.DOUAT, I.QUEINNEC, G.GARCIA, M.MICHELIN, F.PIERROT L.P.BERGE, C.BRIAND, A.DE BONNEVAL, M.TAIX, P.TRUILLET, O.LEFEBVRE Independent systems component Published in: analysis in IDMA 2nd NorthEast Workshop on Circuits And Systems and Traitement Analogique de l Information, du Signal, et ses Applications (NEWCAS-TAISA'09), Toulouse (France), 28 Juin-1 Juillet 2009, pp.1-4 Synthèse d'un diagnostiqueur distribué et 17ème congrès francophone AFRIF-AFIA précis+ Reconnaissance des Formes et Intelligence Artificielle (RFIA 2010), Caen (France), 19-22 Janvier 2010, pp.646-653 Optimisation globale polynomiale appliquée à la French Conference on Pattern Recognition and rectification projective d'images non calibrées Artificial Intelligence (RFIA 2010), Caen (France), 1922 Janvier 2010, 8p. Observation des anomalies dans le réseau TCP: Colloque ARC (Automatique et Réseaux de utilisation d'observateurs glissants Communication), Paris (France), Avril 2010, 1p. Recherche à divergences pour le flow shop Journées Doctorales Journées Nationales MACS hybride avec tâches multiprocesseurs (JD-JN-MACS 2011), Marseille (France), 9-10 Juin 2011, 6p. Une approche floue pour le placement des QUALITA 2011, Angers (France), 23-25 Mars 2011, capteurs et la détection des fautes 6p. Identification et commancde des modes flexibles Journées Doctorales Journées Nationales MACS du robot parallèle Par2 (JD-JN-MACS 2011), Marseille (France), 9-10 Juin 2011, 6p. SysCARE: système de communication et Journées Francophones "Mobilité et Ubiquité 2011" d'assistance robotisée (UBIMOB'11), Toulouse (France), 6-8 Juin 2011, pp.38-41 Scientific Production — 87 MAN11486 MAN11600 MAN11895 MAN12254 MAN12288 MAN12437 MAN12972 MAN13013 MAN13492 MAN13684 MAN13766 MAN14196 MAN14250 MAN14252 N.BELARD , Y.PENCOLE, M.COMBACAU Y.PECHAUD, Y.BESSIERE, M.PEYRE LAVIGNE, I.QUEINNEC, E.PAUL Théorie de méta-diagnostic: raisonnement sur les systèmes de diagnostic Le couplage entre traitements hydrodynamiques et bio-chimiques "verts": un moyen pour limiter l'impact environnemental lié à l'utilisation de biocides dans l'industrie papetière Journées de l'Intelligence Artificielle Fondamentale (JIAF) , Lyon (France), 8-10 Juin 2011, 10p. Congrès de la Société Française de Génie des Procédés (SFGP 2011), Lille (France), 29 Novembre - 1er Décembre 2011, 6p. M.F.FERNANDEZ, X.M.MEYER, G.C.LE ROUX, M.V.LE LANN, R.THERY-HETREUX, M.BREHELIN M.TAIX, C.BRIAND, P.TRUILLET, A.DE BONNEVAL, I.FERRANE, P.GRANDJEAN, J.PINQUIER E.HEBRARD, M.J.HUGUET, M.SIALA Sequential Methodology for the Design of Reactive Distillation Processes Integrating Controllability and Diagnosticability Aspects Aide à la personne dépendante: comment un robot humanoïde NAO peut jouer un rôle principal Algorithme optimal d'arc-consistance pour une séquence de contraintes AtMost avec cardinalité Congrès de la Société Française de Génie des Procédés ( SFGP ) 2011 du 29 novembre au 01 décembre 2011, Lille (France), Novembre 2011, 1p. Colloque National AIP-PRIMECA, Mont-Dore (France), 28-30 Mars 2012, 10p. Journées Francophones de Programmation par Contraintes (JFPC'2012), Toulouse (France), 22-24 Mai 2012, 10p. L.MARTINEZ SALAMERO, Control digital de un convertidor reductor Seminario Anual de Automatica Electronica C.E.CARREJO GONZALEZ, basado en la tecnica de linealization entrada- Industrial e Instrumentacion (SAEEI 2012), C.LAHORE, G.GARCIA, E.VIDAL, salida Guimaraes (Portugal), 11-13 Juillet 2012, 6p. A.EL AROUDI M.F.FERNANDEZ, X.M.MEYER, Methodology for the conceptual design of Congresso Brasileiro de Engenharia Quimica ( M.V.LE LANN, N.HUYNH, G.C.LE reactive distillation columns : from COBEQ ) 2012 du 09 septembre au 12 septembre ROUX, M.MEYER, R.THERYthermodynamic data to dynamic and 2012, Buzios (Brésil), Septembre 2012 HETREUX, G.HETREUX, controllability analysis. M.BREHELIN H.E.GOUGAM, A.SUBIAS, Diagnosticabilité de motifs de supervision par Journées Doctorales Journées Nationales MACS ( Y.PENCOLE dépliage de réseaux de Pétri JD-JN-MACS ) 2013 du 08 juillet au 10 juillet 2013, Strasbourg (France), Juillet 2013, 6p. M.M.JOLDES, V.POPESCU, Searching for sinks of Hénon map using a Forum des Jeunes Mathématicien-ne-s 2013 du 13 W.TUCKER multiple-precision GPU arithmetic library novembre au 15 novembre 2013, Lyon (France), Novembre 2013, 6p. O.LOPEZ SANTOS, L.MARTINEZ Comparison of quadratic boost topologies Congresso Brasileiro de Eletronica de Potencia ( SALAMERO, G.GARCIA, operating under sliding-mode control COBEP ) 2013 du 27 octobre au 31 octobre 2013, H.VALDERRAMA-BLAVI, Gramado (Brésil), Octobre 2013, pp.66-71 T.SIERRA-POLANCO M.F.FERNANDEZ, X.M.MEYER, Introduction of Controllability Criteria in the Early Société Française de Génie des Procédés ( SFGP ) M.V.LE LANN, G.C.LE ROUX, Conceptual Design Step of Reactive Distillation 2013 du 08 octobre au 10 octobre 2013, Lyon N.HUYNH, M.BREHELIN Columns (France), Octobre 2013, 1p. A.A.MEKONNEN, F.LERASLE, Détection de personnes par apprentissage de Reconnaissance de Formes et l'Intelligence A.HERBULOT, C.BRIAND descripteurs hétérogènes sous des Artificielle ( RFIA ) 2014 du 30 juin au 04 juillet considérations CPU 2014, Rouen (France), Juin 2014, 7p. C.PRALET, A.MAILLARD, Gestion du vidage de données satellite avec Journées Francophones de Programmation par G.VERFAILLIE, E.HEBRARD, incertitude sur les volumes Contraintes ( JFPC ) 2014 du 11 juin au 13 juin N.JOZEFOWIEZ, M.J.HUGUET, 2014, Angers (France), Juin 2014, 10p. T.DESMOUSCEAUX, P.BLANCPAQUES, J.JAUBERT S.FORMENTIN, F.DABBENE, Scenario optimization with certificates and Journées Francophones de Programmation par R.TEMPO, L.ZACCARIAN, applications to anti-windup design Contraintes ( JFPC ) 2014 du 11 juin au 13 juin S.M.SAVARESI 2014, Angers (France), Juin 2014, 10p. [MSI] Ref Conferences without proceedings -‐international-‐ Authors Title MSI09778 P.LOPEZ, A.BEN HMIDA, M.HAOUARI, M.J.HUGUET MSI09779 MSI09857 Published in: Climbing depth-bounded discrepancy search for solving two-stage hybrid flow shop scheduling problems O.KONE, C.ARTIGUES, P.LOPEZ, Event-based MILP models for resourceM.MONGEAU constrained project scheduling problems J.I.TORRES ZUNIGA, I.QUEINNEC, C.VILAS, A.VANDE WOUWER P.LOPEZ, M.J.HUGUET, C.ARTIGUES A linear control strategy denitrification biofilter MSI10613 D.ARZELIER, G.DEACONU, S.GUMUSSOY, D.HENRION H2 for HIFOO MSI10705 C.JAUBERTHIE Methodology and application of optimal input design for parameter estimation MSI10847 N.BELARD , Y.PENCOLE, M.COMBACAU T.GARAIX, C.ARTIGUES, C.BRIAND Defining and exploring properties in diagnostic systems Fast minimum float computation in activity networks under interval uncertainty MSI10466 MSI11083 applied to a Generalized resource constraint propagation for job shop scheduling with time lags Scientific Production — 88 23rd European Conference on Operational Research (EURO 2009), Bonn (Allemagne), 5-8 Juillet 2009, pp.203-203 23rd European Conference on Operational Research (EURO 2009), Bonn (Allemagne), 5-8 Juillet 2009, pp.153-154 IFAC Workshop on Control of Distributed Parameter Systems (CDPS09), Toulouse (France), 20-24 Juillet 2009, pp.84-85 European Conference on Operational Research (EURO XXIV), Lisbonne (Portugal), 11-14 Juillet 2010, 1p. (Résumé) International Conference on Control and Optimization With Industrial Applications (COIA 2011), Ankara (Turquie), 22-24 Août 2011, 13p. Workshop on Experiments for Processes with Time or Space Dynamics, Cambridge (UK), Novembre 2011, 8p. International Workshop on Principles of Diagnosis (DX 10), Portland (USA), 13-16 Octobre 2010, 8p. Workshop on Models and Algorithms for Planning and Scheduling Problems (MAPSP 2011), Nymburk (République Tchèque), 19-24 Juin 2011, 3p. MSI11715 MSI11716 MSI11717 MSI12287 MSI12303 MSI12500 MSI12501 MSI12596 MSI12658 MSI13251 MSI13396 MSI13398 MSI13727 MSI14066 H.KUMENO, D.FOURNIERPRUNARET, A.K.TAHA, Y.NISHIO Y.SOULA, A.K.TAHA, D.FOURNIER-PRUNARET, Z.BEN JEMAA, D.FOURNIERPRUNARET, S.BELGHITH M.SIALA, E.HEBRARD, M.J.HUGUET Foliated bifurcation structure in a 2-D coupled logistic map Bifurcations and dynamical behaviors of coupled chaotic systems Independence test of chaotic sequences Nonlinear Maps and Applications (NOMA 2011), Evora (Portugal), 15-16 Septembre 2011, pp.41-45 Nonlinear Maps and Applications (NOMA 2011), Evora (Portugal), 15-16 Septembre 2011, 4p. Nonlinear Maps and Applications (NOMA 2011), Evora (Portugal), 15-16 Septembre 2011, 4p. A study of branching heuristics for the car- International Workshop on Search Strategies and sequencing problem Non-standard Objectives (SSNOWorkshop'12), Nantes (France), 29 Mai 2012, 15p. M.MAIGA, N.RAMDANI, L.TRAVE- First results on nonlinear hybrid reachability Small Workshop on Interval Methods (SWIM 2012), MASSUYES combining interval Taylor method and IBEX Oldenburg (Allemagne), 4-6 Juin 2012, 46p. library G.DE TOMMASI, S.GALEANI, First experimental results with the current limit Symposium on Fusion Technology (SOFT 2012), S.JACHMICH, E.JOFFRIN, avoidance systems at the JET tokamak Liège (Belgique), 24-28 Septembre 2012, 1p. M.LENNHOLM, P.J.LOMAS, (Résumé) A.C.NETO, F.MAVIGLIA, P.MC CULLEN, A.PIRONTI, F.G.RIMINI, G.VARANO, R.VITELLI, L.ZACCARIAN D.CARNEVALE, L.BONCAGNI, A first approach to runaway electron control in Symposium on Fusion Technology (SOFT 2012), C.CIANFARANI, B.ESPOSITO, FTU Liège (Belgique), 24-28 Septembre 2012, 1p. G.GRANUCCI, G.MADDALUNO, (Résumé) D.MAROCCO, G.PUCELLA, C.SOZZI, G.VARANO, V.VITALE, L.ZACCARIAN M.LACROIX-TRIKI, Fuzzy logic selection as a new reliable tool to USCAP Annual Meeting 2013 du 02 mars au 08 T.KEMPOWSKY, C.VALLE, identify gene signatures in breast cancer. The mars 2013, Baltimore (USA), Mars 2013, 1p. L.HEDJAZI, S.LAMARRE, INNODIAG study L.TROUILH, L.ROGER, L.MAHMDI, F.DALENC, T.FILLERON, G.FAVRE, M.V.LE LANN, V.LE BERRE-ANTON M.POCQUET, A.FILALI, Modélisation de la production de protoxyde Congrès International du GRUTTEE ( GRUTTEE ) Y.BESSIERE, L.GUO, d'azote pendant les processus de 2012 du 29 octobre au 31 octobre 2012, Aix-enI.QUEINNEC, nitrification/dénitrification: application à un Provence (France), 2012, 4p. P.A.VANROLLEGHEM, réacteur granulaire aérobie M.SPERANDIO F.SALLEM, B.DAHHOU, Z.LI, System inversion actuators fault detection and Small Workshop on Interval Methods ( SWIM ) 2013 A.KAMOUN, A.KAMOUN isolation for linear systems: application to a du 05 juin au 07 juin 2013, Brest (France), Juin nuclear reactor 2013, 12p. C.ARTIGUES, E.HEBRARD, SAT and hybrid models of the car-squencing International Workshop on the Cross-Fertilization V.MAYER-EICHBERGER , problem Between CSP and SAT, in conjunction with M.SIALA, T.WALSH International Conference on Principles and Practice of Constraint Programming ( CSPSAT - CP ) 2013 du 16 septembre au 20 septembre 2013, Uppsala (Suède), Septembre 2013, 17p. M.SIALA, C.ARTIGUES, Explaining the AtMostSeqCard constraint Doctoral Program Workshop - International E.HEBRARD Conference on Principles and Practice of Constraint Programming ( DP - CP ) 2013 du 16 septembre au 20 septembre 2013, Uppsala (Suède), Septembre 2013, 6p. M.MAIGA, N.RAMDANI, L.TRAVE- Improvements on flow/guard intersection for Small Workshop on Interval Methods ( SWIM ) 2013 MASSUYES nonlinear hybrid reachability du 05 juin au 07 juin 2013, Brest (France), Juin 2013, 2p. M.SIALA, C.ARTIGUES, Solving hard sequencing problems via the Congrès Annuel de la Société Française de E.HEBRARD, M.J.HUGUET AtMostSeqCard constraint Recherche Opérationnelle et d'Aide à la Décision ( ROADEF ) 2014 du 26 février au 28 février 2014, Bordeaux (France), Prix jeune chercheur, Février 2014, 4p. [MSN] Ref Conferences without proceedings -‐national-‐ Authors Title MSN09095 O.KONE, C.ARTIGUES, P.LOPEZ, M.MONGEAU W.KAROUI, M.J.HUGUET, P.LOPEZ B.GACIAS, J.CEGARRA, P.LOPEZ MSN09096 MSN09103 MSN09117 MSN09129 L.HOUSSIN, C.ARTIGUES, E.CORBEL F.GUEYE, C.ARTIGUES, M.J.HUGUET, F.SCHETTINI, L.DEZOU Formulation on-off pour le RCPSP Impact des modes de comptage sur les méthodes à base de divergences Analyse du domaine de travail pour les problèmes de tournées de véhicules Allocation de fréquences dans un système de communication satellitaire utilisant de SDMA Planification d'itinéraires en transport multimodal Scientific Production — 89 Published in: ROADEF'09, Nancy (France), 10-12 Février 2009, 2p. ROADEF'09, Nancy (France), 10-12 Février 2009, 2p. 3èmes Journées Doctorales du GDR MACS (JDMACS 2009), Angers (France), 17-18 Mars 2009, 5p. ROADEF'09, Nancy (France), 10-12 Février 2009, 2p. ROADEF'09, Nancy (France), 10-12 Février 2009, 2p. MSN09321 N.JEAN-BAPTISTE DIT PARNY, P.O.MALATERRE, J.L.CALVET Assimilation de données et estimation de l'état EDSYS 2009. 10ème Congrès de Doctorants, hydraulique d'un aménagement hydroelectrique Toulouse (France), Mai 2009, 6p. du Rhone équipé de la commande prédictive MSN09322 N.DERLON, J.F.WAN, M.SPERANDIO, Y.BESSIERE, I.QUEINNEC MSN09323 R.DAVID, P.SAUCEZ, I.QUEINNEC, J.L.VASEL, T.LEHAUX, C.BRIAND Modélisation 1-D des agrégats biologiques hétérogènes en épuration des eaux: application à la compétition entre bactéries autotrophes et hétérotrophes Dynamical modelling and control of activated sludge treatment Le problème d'ordonnancement de projet multiagent : un partage équitable du stress Ordonnancement cyclique : une approche par la théorie des tas Programmation linéaire en nombres entiers pour l'ordonnancement modulo sous contraintes de ressources MSN09750 MSN09757 MSN09902 MSN09960 MSN10015 MSN10016 MSN10020 MSN10021 MSN10022 MSN10218 MSN10222 MSN10749 MSN10987 MSN11063 MSN11149 MSN11158 MSN11173 MSN11173 MSN11404 MSN11405 T.BEN RAHHOU, L.HOUSSIN, C.ARTIGUES M.AYALA PEREZ, C.ARTIGUES STIC & Environnement 2009, Calais (France), 16-18 Juin 2009, 14p. STIC & Environnement, Calais (France), 16-18 Juin 2009 ROADEF 2010, Toulouse (France), 24-26 Février 2010, 2p. ROADEF 2010, Toulouse (France), Février 2010, 6p. Congrès Annuel de la Société Française de Recherche Opérationnelle et d'Aide à la Décision ( ROADEF ) 2009 du 10 février au 12 février 2009, Nancy (France), Février 2009, 2p. N.JOZEFOWIEZ, C.MANCEL, Approche heuristique pour la gestion de Congrès Annuel de la Société Française de F.MORA CAMINO perturbations dans le domaine aérien Recherche Opérationnelle et d'Aide à la Décision ( ROADEF ) 2009 du 10 février au 12 février 2009, Nancy (France), Février 2009, 3p. F.BANIEL, M.J.HUGUET, T.VIDAL Stabilité hebdomadaire pour la collecte de ROADEF 2010, Toulouse (France), Février 2010, 2p. déchets F.GUEYE, C.ARTIGUES, Un nouvel algorithme pour le plus court chemin ROADEF 2010, Toulouse (France), 24-26 Février M.J.HUGUET, F.SCHETTINI, multimodal bi-objectif avec minimisation du 2010, 2p. L.DEZOU temps de trajet et du nombre de transferts W.KAROUI, M.J.HUGUET, Heuristique à divergence limitée pour les ROADEF 2010, Toulouse (France), 24-26 Février P.LOPEZ, M.HAOUARI problèmes d'ordonnancement avec contraintes 2010, 2p. de délais M.J.HUGUET, C.ARTIGUES, M. Propagation de contraintes généralisée pour la ROADEF 2010, Toulouse (France), 24-26 Février DUGAS, P.LOPEZ résolution du Job Shop avec "time lags" 2010, 2p. maximaux B.GACIAS, P.LOPEZ, J.CEGARRA Analyse de données pour l'aide à la décision ROADEF 2010, Toulouse (France), 24-26 Février interactive en optimisation de tournées de 2010, 2p. véhicules S.RAHME Synthèse d'un observateur glissant du second EDSYS 2010. 11ème Congrès de Doctorants, ordre pour la détection des anomalies dans le Toulouse (France), 6-7 Mai 2010, 6p. réseau TCP M.AYALA PEREZ, C.ARTIGUES Génération de colonnes pour l'ordonnancement ROADEF 2010, Toulouse (France), Février 2010, 2p. modulo sous contraintes de ressources A.LAHIMER, P.LOPEZ, M.HAOUARI Recherche à divergences pour le flow shop Congrès Annuel de la Société Française de hybride avec tâches multiprocesseurs Recherche Opérationnelle et d'Aide à la Décision (ROADEF 2011), St Etienne (France), 2-4 Mars 2011, 2p. R.ACUNA-AGOST, M.BOUDIA, Méthodes de recherche opérationnelle pour la Congrès Annuel de la Société Française de S.GABTENI, N.JOZEFOWIEZ, gestion des perturbations dans le domaine Recherche Opérationnelle et d'Aide à la Décision ( C.MANCEL, F.MORA CAMINO aérien ROADEF ) 2010 du 24 février au 26 février 2010, Toulouse (France), Février 2010, 2p. M.GODICHAUD, E.CHANTHERY, Formalisation et résolution de problèmes Congrès Annuel de la Société Française de O.BUFFET, M.CONTAT d'acquisition d'informations par des systèmes Recherche Opérationnelle et d'Aide à la Décision autonomes (ROADEF 2011), St Etienne (France), 2-4 Mars 2011, Vol.II, pp.875-876 I.QUEINNEC, E.PAUL Modéliser pour optimiser le démarrage d'un Stic & Environnement 2011, St Etienne (France), 11biofiltre nitrifiant des eaux de forage 13 Mai 2011, 14p. K.KIATMANAROJ, C.ARTIGUES, Allocation de fréquence bi-dimensionnelle dans Congrès Annuel de la Société Française de L.HOUSSIN, F.MESSINE un système de communication par satellite avec Recherche Opérationnelle et d'Aide à la Décision décentrage des faisceaux (ROADEF 2011), St Etienne (France), 2-4 Mars 2011, 2p. J.F.TREGOUET, D.ARZELIER, Contrôle d'attitude périodique avec magnéto- Congrès des Doctorants EDSYS 2011, Toulouse D.PEAUCELLE, C.PITTET, coupleurs et roues à réactions (France), 10-11 Mai 2011, 6p. A.FALCOZ J.F.TREGOUET, D.ARZELIER, Contrôle d'attitude périodique avec magnéto- Journées Doctorales Journées Nationales MACS D.PEAUCELLE, C.PITTET, coupleurs et roues à réactions (JD-JN-MACS 2011), Marseille (France), 9-10 Juin A.FALCOZ 2011, 6p. T.LEHAUX, C.BRIAND Ordonnancement de projet multi-agent: le Congrès Annuel de la Société Française de problème de l'équilibrage des coûts Recherche Opérationnelle et d'Aide à la Décision (ROADEF 2011), Saint Etienne (France), 2-4 Mars 2011, 2p. T.GARAIX, C.ARTIGUES, Calcul des marges minimales dans un réseau Congrès Annuel de la Société Française de C.BRIAND d'activités sous incertitudes de durée Recherche Opérationnelle et d'Aide à la Décision (ROADEF 2011), Saint Etienne (France), 2-4 Mars 2011, 2p. Scientific Production — 90 MSN11663 T.BEN RAHHOU, L.HOUSSIN, M.FINK MSN12173 G.SIMONIN, C.ARTIGUES, E.HEBRARD, P.LOPEZ MSN12174 P.TANGPATTANAKUL, N.JOZEFOWIEZ, P.LOPEZ MSN12175 L.BERGHMAN, C.BRIAND, R.LEUS, P.LOPEZ MSN12402 M.TAIX, C.BRIAND, P.TRUILLET, A.DE BONNEVAL, I.FERRANE, J.PINQUIER S.CAFIERI, A.GONDRAN, S.U.NGUEVEU MSN12859 MSN13294 MSN13353 MSN14067 Procédure de branch and bound pour le jobshop Congrès Annuel de la Société Française de cyclique Recherche Opérationnelle et d'Aide à la Décision (ROADEF 2012), Angers (France), 11-13 Avril 2012, 2p. Plans sur la comète ! Congrès Annuel de la Société Française de Recherche Opérationnelle et d'Aide à la Décision (ROADEF 2012), Angers (France), 11-13 Avril 2012, 2p. Optimisation multi-objectif des prises de vues Congrès Annuel de la Société Française de d'un satellite agile d'observation de la terre Recherche Opérationnelle et d'Aide à la Décision (ROADEF 2012), Angers (France), 11-13 Avril 2012, 2p. Problème de cross-docking en mode mixte Congrès Annuel de la Société Française de Recherche Opérationnelle et d'Aide à la Décision (ROADEF 2012), Angers (France), 11-13 Avril 2012, 2p. Nao: fundamental support to Master's student Nao Tech Day, Paris (France), 13 Juin 2012, 25p. projects Un algorithme mémétique pour construire des Congrès Annuel de la Société Française de trajectoires d'aéronefs robustes aux aléas Recherche Opérationnelle et d'Aide à la Décision ( météorologiques ROADEF ) 2012 du 11 avril au 13 avril 2012, Angers (France), Avril 2012, 2p. P.PARENT, D.KIRCHLER, Plus court-chemin multimodal aller-retour Congrès Annuel de la Société Française de M.J.HUGUET, R.WOLFER CALVO Recherche Opérationnelle et d'Aide à la Décision ( ROADEF ) 2013 du 13 février au 15 février 2013, Troyes (France), Février 2013, 2p. D.HENRION Optimization on linear matrix inequalities for Journées Nationales de Calcul Formel ( JNCF ) polynomial systems control 2013 du 13 mai au 17 mai 2013, Luminy (France), Les cours du C.I.R.M., Vol. 3, No. 1, Cours No. I, pp. 1-44, Mai 2013, 61p. Y.GAOUA, S.CAUX, P.LOPEZ Gestion d'énergie en temps réel d'un véhicule Congrès Annuel de la Société Française de hybride électrique Recherche Opérationnelle et d'Aide à la Décision ( ROADEF ) 2014 du 26 février au 28 février 2014, Bordeaux (France), Prix jeune chercheur, Février 2014, 4p. [OAI] Ref Books (author) -‐international-‐ Authors Title OAI09813 J.B.LASSERRE OAI09814 OAI10315 OAI11375 OAI12001 Published in: Linear and Integer Programming vs Linear Springer, Springer Series in Operations Research Integration and Counting : A Duality Viewpoint and Financial Engineering, N°ISBN 978-0-38709413-7, 21 Avril 2009, 168p. J.B.LASSERRE Moments, Positive Polynomials and their Imperial College Press, Optimization Series Vol 1, Applications N°ISBN 978-1-84816-445-1, Octobre 2009, 384p. C.OLALLA MARTINEZ, R.LEYVA, Robust linear control of DC-DC converters. A VDM Verlag, N°ISBN 978-3-639-24070-2, Juin 2010, I.QUEINNEC practical approach to the synthesis of robust 214p. controllers S.TARBOURIECH, G.GARCIA, Stability and stabilization of linear systems with Springer , N°ISBN 978-0-85729-940-6, Août 2011, J.M.GOMES DA SILVA Jr, saturating actuators 451p. I.QUEINNEC V.MAHOUT Assembly language programming. ARM Cortex- Wiley ISTE Ltd, N°ISBN 978-1-84821-329-6, Janvier M3 2012, 246p. [OAN] Ref Books (author) -‐national-‐ Authors OAN09816 B.PRADIN, G.GARCIA Modélisation, analyse systèmes linéaires OAN11574 V.MAHOUT Programmation en langage d'assemblage. ARM Lavoisier Hermès Science, N°ISBN 978-2-7462Cortex TM-M3 3211-2, 2011, 254p. [OEI] Ref Books (editor) -‐international-‐ Authors Title OEI12238 J.B.LASSERRE, F.M.ANJOS OEI12555 D.FOURNIER-PRUNARET, L.GARDINI, L.REICH J.DAAFOUZ, S.TARBOURIECH, M.SIGALOTTI OEI13131 Title Published in: et commande des Presses Universitaires du Mirail, Collection "pour l'ingénieur", N°ISBN 978-2810701339, Janvier 2010 Published in: Handbook on Semidefinite, Polynomial Optimization Conic and Springer, International Series in Operations Research & Management Science, Volume 166, N°ISBN 978-1-4614-0768-3, Janvier 2012, 957p. ESAIM Proceedings. European Conference on ESAIM,Vol.36, Avril 2012 Iteration Theory (ECIT 2010) Hybrid systems with constraints Wiley, N°ISBN 978-1-84821-527-6, Mai 2013, 288p. Scientific Production — 91 OEI13621 E.WITRANT, A.SEURET, E.FRIDMAN, O.SENAME, L.DUGARD [OPI] Ref Books (contribution) -‐international-‐ Authors Title OPI07647 J.B.LASSERRE, M.LAURENT, P.ROSTALSKI OPI08005 L.CONSOLE, C.ARDAGNA, L.ARDISSONO, S.BOCCONI, C.CAPPIELLO, M.O.CORDIER, K.DRIRA, J.EDER, G.FRIEDRICH, M.G.FUGINI, R.FURNARI, A.GOY, K.GUENNOUN, A.HESS, V.IVANCHENKO, X.LE GUILLOU, M.LEHMANN, J.MANGLER, Y.LI, T.MELLITI, S.MODAFFERI, E.MUSSI, Y.PENCOLE, G.PETRONE, B.PERNICI, C.PICARDI, X.PUCEL, S.ROBIN, L.ROZE, M.SEGNAN, A.TAHAMTAN, A.TEN TEJIE, D.THESEIDER DUPRE, L.TRAVEMASSUYES, F.VAN HARMELEN, T.VIDAL, A.SUBIAS S.TARBOURIECH, P.SOUERES Image-based visual servo control design with Visual Servoing via Advanced Numerical Methods G. multi-constraint satisfaction Chesi and K. Hashimoto (Eds.), Lecture Notes in Control and Information Sciences, Vol. 401, SpringerVerlag, Juin 2010, Chapter 15, pp.275-294 OPI09285 OPI10730 D.HENRION, J.MALICK OPI11602 C.OLALLA MARTINEZ, A.EL AROUDI, R.LEYVA, I.QUEINNEC OPI11622 G.ROUX, Z.LI, B.DAHHOU OPI11754 S.INDRA, L.TRAVE-MASSUYES, E.CHANTHERY OPI12192 M.SATO, D.PEAUCELLE OPI12412 J.BOADA, C.PRIEUR, S.TARBOURIECH, C.PITTET, C. CHARBONNEL OPI12428 A.AGLIARI, D.FOURNIERPRUNARET, A.K.TAHA OPI12456 R.LOPEZ-RUIZ, D.FOURNIERPRUNARET OPI12780 F.M.ANJOS, J.B.LASSERRE Proceedings of the 11th Workshop on Time- Proceedings of the 11th Workshop on Time-Delay Delay Systems Systems, N°ISBN 978-3-902823-26-7, Février 2013, 150p. Published in: A unified methodology for real and complex Emerging Applications of Algebraic Geometry, zeros of zero-dimensional ideals Springer, 380p., N°ISBN: 978-0-387-09685-8, 2009, pp.125-156 WS-DIAMOND web services - DIAgnosability, At Your Service. Service-Oriented Computing from MONitoring and Diagnosis an EU Perspective, MIT PRESS, N°ISBN 978-0-26204253-6, Juin 2009, pp.213-240 Projection methods in comic optimization Handbook on Semidefinite, Conic and Polynomial Optimization, Miguel F. Anjos and Jean B. Lasserre (Editors). International Series in Operations Research & Management Science Volume 166, Springer Verlag, Berlin, 2012 , N°ISBN 9781461407680, Janvier 2012, Part II, Chapter 20, pp.565-600 LMI robust control of PWM converters: an output-Recent Advances in Robust Control - Theory and feedback approach Applications in Robotics and Electromechanics, Novembre 2011, Chapter 17, 27p. Monitoring and control strategies for ethanol Fermentation Microbiology and Biotechnology, Third production in saccharomyces cerevisiae Edition, N°ISBN 978-1-43-985579-9, Décembre 2011, Chapitre 17, 21p. A decentralized FDI scheme for spacecraft: Progress in Flight Dynamics, Guidance, Navigation, bridging the gap between model based FDI Control, Fault Detection, and Avionics, Vol.6, C. research & practice Vallet, D. Choukroun, C. Philippe, G. Balas, A. Nebylov and O. Yanova (Eds.) ,N°ISBN 978-2-75980878-6, Décembre 2013, pp.281-298 Gain-scheduled output-feedback controllers with Control of Linear Parameter Varying Systems with good implementability and robustness Applications, Mohammadpour, Javad; Scherer, Carsten W. (Eds.), Springer, 2012, 547p., N°ISBN 978-1-4614-1832-0, Avril 2012, Part II, Chapter 8, pp.181-216 Formation flying control for satellites: anti- Modeling and Optimization in Space Engineering, windup based approach Series: Springer Optimization and Its Applications, Vol. 73. G. Fasano,J.D. Pintér (Eds.), Springer, 395p., N°ISBN 978-1461444688, Septembre 2012, Chatper 3, 22p. Periodic orbits and their bifurcations in 3D maps Global Analysis of Dynamic Models in Economics with a separate third iterate and Finance, G.I.Bischi; C.Chiarella; I.Sushko (Eds.), Springer, N° ISBN 978-3-642-29502-7, 440p., Août 2012, Chapter 15, pp.397-427 The bistable brain: A neuronal model with Symbiosis: Evolution, Biology and Ecological symbiotic interactions Effects, Nova Science Publishers, A.F.Camisao and C.C.Pedroso (Eds), , N°978-1-62257-211-3, Novembre 2012, 20p. Introduction to semidefinite, conic and Handbook on Semidefinite, Conic and Polynomial polynomial optimization Optimization, Miguel F. Anjos and Jean B. Lasserre (Editors). International Series in Operations Research & Management Science Volume 166, 957p., Janvier 2012, pp.1-22 Scientific Production — 92 OPI12781 J.B.LASSERRE A "joint+marginal" approach in optimization OPI12782 J.B.LASSERRE, M.PUTINAR Positivity and optimization: beyond polynomials OPI13130 F.FORNI, A.R.TEEL, L.ZACCARIAN M.FIACCHINI, S.TARBOURIECH, C.PRIEUR D.HENRION Reference mirroring for control with impacts OPI13132 OPI13173 Exponential stability for hybrid systems with saturations Positive polynomial matrices for LPV controller synthesis OPI13231 G.ZAIBI, F.PEYRARD, A.KACHOURI, D.FOURNIERPRUNARET, M.SAMET A new encryption algorithm based on chaotic map for wireless sensor network OPI13356 L.TRAVE-MASSUYES Bridges between diagnosis theories from control and AI perspectives OPI13471 A.BANOS, F.PEREZ RUBIO, Delay-independant stability via reset loops S.TARBOURIECH, L.ZACCARIAN [RVSI] Ref Scientific Journals -‐international-‐ Authors Title RVSI04669 J.C.ZUNIGA, D.HENRION RVSI05334 RVSI06156 RVSI06552 Handbook on Semidefinite, Conic and Polynomial Optimization, Miguel F. Anjos and Jean B. Lasserre (Editors). International Series in Operations Research & Management Science Volume 166, 957p, Janvier 2012, pp.271-296 Handbook on Semidefinite, Conic and Polynomial Optimization, Miguel F. Anjos and Jean B. Lasserre (Editors). International Series in Operations Research & Management Science Volume 166, 957p, Janvier 2012, pp.407-436 Hybrid Systems with Constraints, Mai 2013, Chapter 8, pp.211-249 Hybrid Systems with Constraints, Mai 2013, Chapter 7, pp.179-212 Robust Control and Linear Parameter Varying Approaches, Lecture Notes in Control and Information Sciences, Volume 437, N°ISBN 978-3642-36109-8, Olivier Sename, Peter Gaspar, Jozsef Bokor (Eds), Mai 2013, Chapter 4, pp.87-96 Architectures and Protocols for Secure Information Technology Infrastructures, Antonio Ruiz-Martinez, Rafael Marin-Lopez and Fernando PereniguezGarcia, IGI Global, N°ISBN 9781466645141, 426p., Janvier 2014, Chapter IV, pp.103-123 Intelligent Systems in Technical and Medical Diagnostics, Jozef Korbicz, Marek Kowal (Eds), Springer, 400p., N° ISBN 9783642398803, Juillet 2013, Advances in Intelligent Systems and Computing 230, pp.3-28 Low-Complexity Controllers for Time-Delay Systems, Springer, N°ISBN 978-3-319-05576-3, Mai 2014, pp.111-126 Published in: An improved Toeplitz algorith for polynomial Applied Mathematics and Computation, Vol.207, matrix null-space computation N°1, pp.256-272, Janvier 2009 J.B.LASSERRE The K-moment problem with densities Mathematical Programming, Vol.116, N°1-2, pp.321341, Janvier 2009 R.GOEBEL, C.PRIEUR, A.R.TEEL Smooth patchy control Lyapunov functions Automatica, Vol.45, N°3, pp.675-683, Mars 2009 F.GALASSO, C.MERCE, B.GRABOT W.GILBERT, D.HENRION, J.BERNUSSOU, D.BOYER T.GARAIX, C.ARTIGUES, D.FEILLET, D.JOSSELIN Decision support framework for supply chain planning with flexible demand Polynomial LPV synthesis applied to turbofan engines Vehicle routing problems with alternative paths: an application to demand responsive transports RVSI06700 C.ARTIGUES, M.GENDREAU, L.M.ROUSSEAU, A.VERGNAUD RVSI06810 RVSI07034 A.DUPONT, A.CARNEIRO LINHARES, C.ARTIGUES, D.FEILLET, P.MICHELON, M.VASQUEZ J.B.LASSERRE Solving an integrated employee timetabling and Computers and Operations Research, Vol.36, N°8, production scheduling problem via hybrid branch-pp.2330-2340, Août 2009 and-bound The dynamic frequency assignment problem European Journal of Operational Research, Vol.195, N°1, pp.75-88, Mai 2009 RVSI07036 M.A.ALOULOU, C.ARTIGUES RVSI07305 RVSI07318 V.F.MONTAGNER, C.L.F.OLIVEIRA, T.TOGNETTI CALLIERO, R.A.BORGES, P.L.D.PERES, C.PRIEUR M.C.TURNER, S.TARBOURIECH RVSI07452 D.PEAUCELLE, M.SATO RVSI07477 W.MICHIELS, T.VYHLIDAL, P.ZITEK, H.NIJMEIJER, D.HENRION D.HENRION, J.B.LASSERRE, J.LOFBERG RVSI06691 RVSI06695 RVSI07536 International Journal of Production Research , Vol.47, N°2, pp.455-478, Janvier 2009 IFAC Control Engineering Practice, Vol.18, N°9, pp.1077-1083, Août 2010 European Journal of Operational Research, Vol.204, N°1, pp.62-75, Juillet 2010 Convex sets with semidefinite représentation Mathematical Programming, Vol.120, N°2, pp.457477, Septembre 2009 Flexible solutions in disjunctive scheduling: Computers & Operations Research, Vol.37, N°5, general formulation and study of the flow-shop pp.890-898, Mai 2010, case Robust absolute stability and nonlinear feedback Nonlinear Analysis: Theory, Methods & Applications, stabilization based on polynomial Lur'e functions Vol.70, N°5, pp.1803-1812, Mars 2009 Anti-windup compensation for systems with sensor saturation: a study of architecture and structure LMI tests for positive definite polynomials: slack variable approach Strong stability of neutral equations with an arbitrary delay dependency structure GloptiPoly 3: moments, semidefinite programming optimization Scientific Production — 93 International Journal of pp.1253-1266, Juin 2009 Control, Vol.82, N°7, IEEE Transactions on Automatic Control, Vol.54, N°4, pp.886-891, Avril 2009 SIAM Journal on Control and Optimization, Vol.48, N°2, pp.763-786, 2009 and Optimization Methods and Software, Vol.24, N°4-5, pp.761-779, Août 2009 RVSI07631 G.VALMORBIDA, S.TARBOURIECH, G.GARCIA RVSI07678 C.ARTIGUES, C.BRIAND RVSI07738 E.BENAZERA RVSI07746 O.GUENOUNOU, A.BELMEHDI, B.DAHHOU N.MEULEAU, E.BENAZERA, R.I.BRAFMAN, E.A.HANSEN, MAUSAM D.HENRION RVSI08023 RVSI08038 RVSI08040 RVSI08080 RVSI08145 RVSI08180 RVSI08349 RVSI08377 RVSI08391 RVSI08403 RVSI08424 RVSI08469 RVSI08582 RVSI08612 RVSI08618 RVSI08619 RVSI08622 RVSI08623 RVSI08660 RVSI08668 RVSI08669 RVSI08689 RVSI08713 RVSI08721 RVSI08727 RVSI08728 RVSI09001 RVSI09023 Analyse de stabilité pour un système soumis à Journal Européen des Systèmes Automatisés , des saturations et avec des dynamiques Vol.43, N°1-2, pp.1-24, Janvier 2009 négligées The resource-constrained activity insertion Journal of Scheduling, Vol.12, N°5, pp.447-460, problem with minimum and maximum time lags Septembre 2009 Planning in stochastic domains for multiple Autonomous Agents and Multi-Agent Systems, agents with individual continuous resource state-Vol.23, N°1, pp.71-113, Février 2011 spaces Multi-objective optimization of TSK fuzzy models Expert Systems with Applications, Vol.44, N°3, Mars 2010 A heuristic search approach to planning with Journal of Artificial Intelligence Research , Vol.34, continuous resources in stochastic domains pp.27-59, Janvier 2009 Detecting rigid convexity of bivariate polynomials B.BONTOUX, C.ARTIGUES, Memetic algorithm with a large neighborhood D.FEILLET crossover operator for the generalized traveling salesman problem F.LEHMANN, A.KAZEM, G.SALUT Blind turbo-detection in the presence of phase noise C.OLALLA MARTINEZ, R.LEYVA, LMI robust control design for boost PWM A.EL AROUDI, I.QUEINNEC conversters E.BENAZERA, L.TRAVESet-theoretic estimation of hybrid system MASSUYES configurations J.B.LASSERRE Linear Algebra and its Applications, Vol.432, N°5, pp.1218-1233, Février 2010 Computers & Operations Research, Vol.37, N°11, pp.1844-1852, Novembre 2010 IET Communications, Vol.3, N°8, pp.1343-1353, Août 2009 IET Power Electronics, Vol.3, N°1, pp.75-85, Janvier 2010 IEEE Transactions on Systems Man and Cybernetics Part B: Cybernetics, Vol.39, N°5, pp.1277-1291, Octobre 2009 SIAM Journal on Optimization, Vol.19, N°4, pp.19951009, Mars 2009 RAIRO Operations Research, Vol.44, N°1, pp.61-71, 8 Février 2010 SIAM Review, Vol.51, N°4, pp.722-743, Septembre 2009 Automatica, Vol.45, N°2, pp.422-428, Février 2009 Convexity in semi-algebraic geometry and polynomial optimization C.BRIAND, S.OURARI, An efficient ILP formulation for the single B.BOUZOUIA machine scheduling problem D.HENRION, J.B.LASSERRE, Approximate volume and integration for basic C.SAVORGNAN semi-algebraic sets V.ANDRIEU, L.PRALY, A.ASTOLFI High gain observers with updated gain and homogeneous correction terms S.TARBOURIECH, M.C.TURNER Anti-windup design: an overview of some recent IET Control Theory & Applications, Vol.3, N°1, pp.1advances and open problems 19, Janvier 2009 R.DAVID, A.VANDE WOUWER, Robust control of the activated sludge process Biotechnology Progress, Vol.25, N°3, pp.701-708, J.L.VASEL, I.QUEINNEC Juin 2009 R.LARAKI, J.B.LASSERRE Semidefinite programming for Min-Max Mathematical Programming, Vol.131, N°1-2, pp.305problems and games 332, Juillet 2012 J.MC KERNAN, M.GANI, F.YANG, Optimal low-frequency filter design for uncertain IEEE Signal Processing Letters, Vol.16, N°5, pp.362D.HENRION 2-1 sigma-delta modulators 365, Mai 2009 D.PEAUCELLE, H.KHAN, LMI-based analysis of robust adaptive control Automation and Remote Control, Vol.70, N°9, P.PAKSHIN for linear systems with time-varying uncertainty pp.1540-1552, Septembre 2009 P.PAKSHIN, S.SOLOVGEV, Parametrization of stabilysing controller for Automation and Remote Control, Vol.70, N°9, D.PEAUCELLE stochastic systems pp.1514-1527, Septembre 2009 M.N.KABBAJ, Y.NAKKABI, Analystical and knowledge based approaches Knowledge-Based Systems, Vol.23, N°2, pp.116A.DONCESCU for a bioprocess supervision 124, Mars 2010 M.N.KABBAJ, Y.NAKKABI, A classification based residual evaluation for Information An International Interdisciplinary Journal, A.DONCESCU fault detection and isolation Vol.12, N°3, pp.683-695, Mai 2009 D.HENRION Semidefinite geometry of the numerical range The Electronic journal of Linear Algebra, Vol.20, pp.322-332, Juillet 2010 I.QUEINNEC, C.GOMEZ Reduced modelling and state observation of an Biotechnology Progress, Vol.25, N°3, pp.654-666, QUINTERO activated sludge process Juin 2009 C.OLALLA MARTINEZ, R.LEYVA- Robust LQR control for PWM converters: an LMI IEEE Transactions on Industrial Electronics, Vol.56, GRASA, A.EL AROUDI, approach N°7, pp.2548-2558, Juillet 2009 I.QUEINNEC Y.PENCOLE, A.SUBIAS A chronicle-based diagnosability approach for Journal of Universal Computer Science, Vol.15, discrete time-event systems: application to web- N°17, pp.3246-3272, Mars 2010 services C.JAUBERTHIE, L.TRAVEA sufficient condition to test identifiability of a Automatica, Vol.46, N°7, pp.1222-1227, Juillet 2010 MASSUYES nonlinear delayed-differential models with constant delays and multi-inputs G.GARCIA, S.TARBOURIECH, Finite time stabilization of linear time-varying IEEE Transactions on Automatic Control, Vol.54, J.BERNUSSOU continuous systems N°2, pp.364-369, Février 2009 D.FRAGKOULIS, G.ROUX, Detection, isolation and identification of multiple Applied Mathematical Modelling, Vol.35, N°1, pp.522B.DAHHOU actuator and sensor faults in nonlinear dynamic 543, Janvier 2011 systems: application to a waste water treatment process D.FRAGKOULIS, G.ROUX, A global scheme for multiple and simultaneous Transactions on Systems, Signals & Devices, Vol.5, B.DAHHOU faults in system actuators and sensors N°1, pp.65-83, Juin 2010 D.HENRION Semidefinite representation of convex hulls of Acta Applicandae Mathematicae, Vol.115, N°3, rational varieties pp.319-327, Août 2011 M.O.CORDIER, Y.PENCOLE, Caractérisation des systèmes autoguérissants: Information Interaction Intelligence: an International L.TRAVE-MASSUYES, T.VIDAL diagnostiquer ce que l'on peut réparer Journal, Vol.8, N°2, 29p., Juin 2009 Scientific Production — 94 RVSI09045 RVSI09052 RVSI09055 RVSI09057 RVSI09082 RVSI09102 RVSI09104 RVSI09127 RVSI09132 RVSI09282 RVSI09283 RVSI09284 RVSI09286 RVSI09287 RVSI09315 RVSI09324 RVSI09326 RVSI09362 RVSI09386 RVSI09391 RVSI09453 RVSI09465 RVSI09526 RVSI09532 RVSI09551 RVSI09554 RVSI09579 RVSI09588 RVSI09641 RVSI09655 RVSI09662 RVSI09690 Y.ARIBA, F.GOUAISBAUT An augmented model for robust stability analysis International Journal of Control, Vol.82, N°9, of time-varying delay systems pp.1616-1626, Septembre 2009, DOI:10.1080/00207170802635476 R.ELIZONDO, V.PARADA, An evolutionary and constructive approach to a Journal of Heuristics, Vol.16, N°4, pp.575-591, 2010 L.PRADENAS, C.ARTIGUES crew scheduling problem in underground passenger transport Y.ARIBA, Y.LABIT, Congestion control stability of a single router International Journal on Advances in Internet F.GOUAISBAUT with an active queue management Technologies, Vol.2, N°1, pp.58-67, Juin 2009 Y.ARIBA, F.GOUAISBAUT, Feedback control for router management and IEEE Transactions on Network and Service Y.LABIT TCP/IP networks stability Management, Vol.6, N°4, pp.255-266, Septembre 2009 J.M.BIANNIC, S.TARBOURIECH Optimization and implementation of dynamic Control Engineering Practice, Vol.17, N°6, pp.703anti-windup compensators with multiple 713, Juin 2009 saturations in flight control systems O.KONE, C.ARTIGUES, P.LOPEZ, Event-based MILP models for resource- Computers & Operations Research, Vol.38, N°1, M.MONGEAU constrained project scheduling problems pp.3-13, Janvier 2011 B.GACIAS, C.ARTIGUES, Parallel machine scheduling with precedence Computers & Operations Research, Vol.37, N°12, P.LOPEZ constraints and setup times pp.2141-2151, Décembre 2010 C.DRESSAIRE, C.GITTON, Transcriptome and proteome exploration to PLOS Computational Biology, Vol.5, N°12, 12p., P.LOUBIERE, V.MONNET, model translation efficiency and protein stability Décembre 2009, DOI: 10.1371 I.QUEINNEC, M.COCAIGNBOUSQUET N.AOUANI, S.SALHI, G.GARCIA, Robust control analysis and synthesis for LPV Transactions on Systems, Signals and Devices, M.KSOURI systems under affine uncertainty structure Vol.5, N°1, pp.1-12, Novembre 2010 V.ANDRIEU, L.PRALY, A.ASTOLFI Asymptotic tracking of a reference trajectory by Systems and Control Letters, Vol.58, N°9, pp.652output-feedback for a class of non linear 663, Septembre 2009 systems N.OLIVIER-MAGET, G.HETREUX, Dynamic state reconciliation and model-based Asia-Pacific Journal of Chemical Engineering, Vol.4, J.M.LE LANN, M.V.LE LANN fault detection for chemical processes N°6, pp.929-941, Novembre 2009 N.OLIVIER-MAGET, G.HETREUX, Model-based fault diagnosis for hybrid systems: Computers & Chemical Engineering, Vol.33, N°10, J.M.LE LANN, M.V.LE LANN application on chemical processes pp.1617-1630, Octobre 2009 V.ANDRIEU, L.PRALY A unifying point of view on output feedback Automatica, Vol.45, N°8, pp.1789-1798, Août 2009 designs for global asymptotic stabilization S.GALEANI, S.TARBOURIECH, A tutorial on modern anti-windup design European Journal of Control, Vol.15, N°3-4, pp.418M.C.TURNER, L.ZACCARIAN 440, Septembre 2009 J.B.LASSERRE A "joint+marginal" approach to parametric SIAM Journal on Optimization, Vol.20, N°4, pp.1995polynomial optimization 2022, Mars 2010 J.B.LASSERRE, M.LAURENT, A prolongation-projection algorithm for Theoretical Computer Science, Vol.410, N°27-29, P.ROSTALSKI computing the finite real variety of an ideal pp.2685-2700, Juin 2009 D.HENRION, J.MALICK Projection methods for conic feasibility Optimization Method & Software, Vol.26, N°1, pp.23problems; applications to sum-of-squares 46, Février 2010 decompositions C.PRIEUR Control of systems of conservation laws with Networks and Heterogeneous Media, Vol.4, N°2, boundary errors pp.393-407, Juin 2009 S.OURARI, C.BRIAND, Minimizing the number of tardy jobs in single RAIRO - Operations Research, Vol.47, N°1, pp.33B.BOUZOUIA machine scheduling using MIP 46, Janvier 2013 A.VANDE WOUWER, Editorial: nonlinear estimation and control of Biotechnology Progress, Vol.25, N°3, 3p., Février I.QUEINNEC bioprocesses 2010 Y.EBIHARA, D.PEAUCELLE, Robust performance analysis of uncertain European Journal of Control, Vol.16, N°6, pp.532D.ARZELIER discrete-time linear systems based on system 544, Juin 2010 lifting and LMIs L.BAUDOUIN, J.SALOMON, Analysis of the toolkit method for the time- Journal of Scientific Computing, Vol.49, N°2, pp.111G.TURINICI dependant Schrödinger equation 136, Octobre 2011 J.B.LASSERRE Moments and sums of squares for polynomial Journal of Global Optimization, Vol.45, N°1, pp.39optimization and related problems 61, Septembre 2009 C.BRIAND A new any-order schedule generation scheme RAIRO - Operations Research, Vol.43, N°3, pp.297for resource-constrained project scheduling 308, Mars 2009 N.JOZEFOWIEZ, G.LAPORTE, A generic branch-and-cut algorithm for multi- INFORMS Journal on Computing, Vol.24, N°4, F.SEMET objective optimization problems: Application to pp.554-564, Septembre 2012, the multi-modal traveling salesman problem doi:10.1287/ijoc.1110.0476 R.LEYVA, C.OLALLA MARTINEZ, MPPT based on Sinusoidal Extremum-Seeking International Journal of Photoenergy, Article ID H.ZAZO, C.CABAL, A.CIDControl in PV Generation 672765, Vol.2012, 7p., Décembre 2012 PASTOR, I.QUEINNEC, C.ALONSO M. ANDERLE, D.HENRION, LMI based design for the Acrobot walking International Journal of Control, Vol.83, N°8, JZIKMUND, S.CELIKOVSKY pp.1641-1652, Août 2010 C.LOUEMBET, F. CAZAURANG, Génération de trajectoires d'attitude sur orbites : Journal Européen des Systèmes Automatisés, A.ZOLGHADRI, C. approche par platitude et collocation Vol.44, N°1, pp.7-31, Janvier 2010 CHARBONNEL, C.PITTET A.FRADKOV, B.ANDRIEVSKY, Estimation and control under information IEEE Transactions on Control System Technology, D.PEAUCELLE constraints for LAAS Helicopter Benchmark Vol.18, N°5, pp.1180-1187, Septembre 2010 Y.ARIBA, F.GOUAISBAUT, Traffic monitoring in TCP/AQM networks through IET Control Theory & Applications, Vol.6, N°4, S.RAHME, Y.LABIT a time delay observer pp.506-517, Mars 2012 J.B.LASSERRE On representations of the feasible set in convex Optimization Lettrers, Vol.4, N°1, pp.1-5, Juillet 2010 optimization Y.YAN, P.DAGUE, Y.PENCOLE, A Model-based Approach for Diagnosing Faults International Journal of Web Services Research M.O.CORDIER in Web Service Processes (JWSR), 29p., Janvier 2009 Scientific Production — 95 RVSI09716 RVSI09752 RVSI09753 RVSI09829 RVSI09830 RVSI09887 RVSI10030 RVSI10037 RVSI10054 RVSI10073 RVSI10097 RVSI10138 RVSI10183 RVSI10220 RVSI10233 RVSI10261 RVSI10345 RVSI10362 RVSI10365 RVSI10366 RVSI10373 RVSI10423 RVSI10450 RVSI10472 RVSI10481 RVSI10482 RVSI10484 RVSI10485 RVSI10486 RVSI10487 RVSI10492 W.BEN MABROUK, C.BEN NJIMA, H.MESSAOUD, L.HOUSSIN, C.ARTIGUES, E.CORBEL Finite-time stabilization of nonlinear affine Journal Européen des Systèmes Automatisés, systems Vol.44, N°3, pp.327-343, Novembre 2010 Frequency allocation problem in a SDMA Computers & Industrial Engineering, Vol.61, N°2, satellite communication system pp.346-351, Septembre 2011, L.HOUSSIN, S.LAHAYE, J.L.BOIMOND G.GARCIA, S.TARBOURIECH, J.M.GOMES DA SILVA Jr, D.ECKHARD V.J.S.LEITE, S.TARBOURIECH, P.L.D.PERES Control of (max, +) - linear systems minimizing Discrete Event Dynamic Systems, Vol.23, N°3, delays pp.261-276, Septembre 2013 Finite L2 gain and internal stabilisation of linear IET Control Theory & Applications, Vol.3, N°7, systems subject to actuator and sensor pp.799-812, Juillet 2009 saturations Robust HFormula state feedback control of IMA Journal of Mathematical Control and Information discrete-time systems with state delay: an LMI , Vol.26, N°3, pp.357-373, 24 Août 2009 approach Y.ARIBA, F.GOUAISBAUT, Feedback control for router management and IEEE Transactions on Network and Service Y.LABIT TCP/IP network stability Management, Vol.6, N°4, Décembre 2009 A.DELIBASI, D.HENRION Hermite matrix in Lagrange basis for scaling International Journal of Control, Vol.83, N°12, static output feedback polynomial matrix pp.2494-2505, Décembre 2010 inequalities J.B.LASSERRE, E.S.ZERON Lp-norms, Log-barriers and Cramer transform in Set-Valued Analysis, Vol.18, N°3-4, pp.513-530, Optimization Octobre 2010 L.HEDJAZI, J.AGUILAR MARTIN, Similarity-margin based feature selection for Pattern Recognition Letters, Vol.32, N°4, pp.578M.V.LE LANN symbolic interval data 585, Mars 2011 J.B.LASSERRE Certificates of convexity for basic semi-algebraic Applied Mathematics Letters, Vol.23, N°8, pp.912sets 916, Août 2010 W.KAROUI, M.J.HUGUET, Climbing discrepancy search for flowshop and Electronic Notes in Discrete Mathematics, Vol.36, P.LOPEZ, M.HAOUARI jobshop scheduling with time-lags pp.821-828, Août 2010 A.BEN HMIDA, M.HAOUARI, Discrepancy search for the flexible job shop Computers & Operations Research, Vol.37, N°12, M.J.HUGUET, P.LOPEZ scheduling problem pp.2192-2201, Décembre 2010 N.JOZEFOWIEZ, C.MANCEL, A heuristic approach based on shortest path The Journal of the Operational Research Society, F.MORA CAMINO problems for integrated flight, aircraft and Vol.64, N°3, pp.384-395, Mars 2013 passenger rescheduling under disruptions M.AYALA PEREZ, C.ARTIGUES, Lagrangian relaxation-based lower bound for Electronic Notes in Discrete Mathematics, Vol.36, B.GACIAS resource-constrained modulo scheduling pp.191-198, Août 2010 N.JOZEFOWIEZ, G.LAPORTE, A branch-and-cut algorithm for the minimum Computers & Operations Research, Vol.38, N°11, F.SEMET labeling Hamiltonian cycle problem and two pp.1534-1542, Novembre 2011 variants C.LOUEMBET, F. CAZAURANG, Motion planning for flat systems using positive B-Automatica, Vol.46, N°8, pp.1305-1309, Août 2011 A.ZOLGHADRI splines: an LMI approach M.TROJET, F.H'MIDA, P.LOPEZ Project scheduling under resource constraints: Computers and Industrial Engineering, Vol.61, N°2, application of the cumulative global constraint in pp.357-363, Septembre 2011 a decision support framework L.HEDJAZI, J.AGUILAR MARTIN, Towards a unified principle for reasoning about International Journal of Uncertainty, Fuzziness and M.V.LE LANN, T.KEMPOWSKY heterogeneous data: a fuzzy logic framework Knowledge-based Systems, Vol.20, N°2, pp.281302, Février 2012 G.VALMORBIDA, State feedback design for input-saturating Automatica, Vol.46, N°7, pp.1196-1202, Juillet 2010 S.TARBOURIECH, G.GARCIA quadratic systems G.GARCIA, P.L.D.PERES, Assessing asymptotic stability of linear IEEE Transactions on Automatic Control, Vol.55, S.TARBOURIECH continuous time-varying systems by computing N°4, pp.998-1003, Avril 2010 the envelope of all trajectories C.ARTIGUES, M.J.HUGUET, Generalized disjunctive constraint propagation Engineering Applications of Artificial Intelligence, P.LOPEZ for solving the job shop problem with time lags Vol.24, N°2, pp.220-231, Mars 2011 S.TARBOURIECH, C.PRIEUR, Stability analysis for linear systems with input Automatica, Vol.46, N°11, pp.1911-1915, Novembre I.QUEINNEC backlash through sufficient LMI conditions 2010 Y.EBIHARA, D.PEAUCELLE, Periodically time-varying memory state- Automatica, Vol.47, N°1, pp.14-25, Janvier 2011 D.ARZELIER feedback controller synthesis for dicrete-time linear systems G.GARCIA, S.TARBOURIECH Commande robuste des systèmes linéaires Journal Européen des Systèmes Automatisés incertains. Extensions pour la prise en compte (JESA), Vol.44, N°6, pp.603-629, Août 2010 de non-linéarité de type saturation ou backlash T.BAYEN, D.HENRION Semidefinite programming for optimizing convex Optimization Methods and Software, Vol.27, N°6, bodies under width constraints pp.1073-1099, Août 2012 J.B.LASSERRE On Convex optimization without convex Optimization Letters, Vol.5, N°4, pp.549-556, representation Novembre 2011 C.ARTIGUES, N.JOZEFOWIEZ, An exact method for the bi-objective one- Electronic Notes in Discrete Mathematics, Vol.36, M.A.ALOULOU machine problem with maximum lateness and pp.1233-1240, Août 2010 unit family setup cost objectives A.HAIT, C.ARTIGUES An hybrid CP/MILP method for scheduling with European Journal of Industrial Engineering, Vol.5, energy costs N°4, pp.471-489, Octobre 2011 C.ARTIGUES, E.BOURREAU, Disruption management for commercial airlines: European Journal of Industrial Engineering, Vol.6, H.AFSAR, O.BRIANT, M.BOUDIA methods and results for the ROADEF 2009 N°6, pp.669-689, Novembre 2012 Challenge L.BAUDOUIN, E.CERPA, Lipschitz stability in an inverse problem for the Applicable analysis, Vol.92, N°10, pp.2084-2102, E.CREPEAU, A.MERCADO Kuramoto-Sivashinsky equation Octobre 2013 J.B.LASSERRE A new look at nonnegativity on closed sets and SIAM Journal on Optimization, Vol.21, N°3, pp.864polynomial optimization 885, Juillet 2011 Scientific Production — 96 RVSI10498 C.YUGMA, S.DAUZERE-PERES, C.ARTIGUES, O.SIBILLE RVSI10510 D.ARZELIER, M.KARA-ZAITRI, C.LOUEMBET, A.DELIBASI B.ROBU, L.BAUDOUIN, C.PRIEUR, D.ARZELIER J.B.LASSERRE RVSI10518 RVSI10519 RVSI10553 RVSI10584 RVSI10595 RVSI10625 RVSI10676 RVSI10677 RVSI10695 RVSI10731 RVSI10748 C.ROOS, J.M.BIANNIC, S.TARBOURIECH, C.PRIEUR, M.JEANNEAU V.ANDRIEU, C.PRIEUR, S.TARBOURIECH, D.ARZELIER C.ARTIGUES, P.LOPEZ, A.HAIT A batching and scheduling algorithm for the International Journal of Production Research , diffusion area in semiconductor manufacturing Vol.50, N°8, pp.2118-2132, Avril 2012, DOI:10.1080/00207543.2011.575090 Using polynomial optimization to solve the fuel- AIAA Journal of Guidance, Control, and Dynamics, optimal linear impulsive rendezvous problem Vol.34, N°5, pp.1567-1576, Septembre 2011 Simultaneous Hinfinite vibration control of IEEE Transactions on Control Systems Technology, fluid/plate system via reduced-order controller Vol.20, N°3, pp.700-711, Mai 2012 Min-max and robust polynomial optimization Journal of Global Optimization, Vol.51, N°1, pp.1-10, Septembre 2011 On-ground aircraft control design using a Aerospace Science and Technology, Vol.14, N°7, parameter-varying anti-windup approach pp.459-471, Octobre 2010 Global asymptotic stabilization of systems satisfying two different sector conditions The energy scheduling problem: industrial case study and constraint propagation techniques Robust optimal control of bilinear DC-DC converters Systems & Control Letters, Vol.60, N°8, pp.570-578, Août 2011 International Journal of Production Economics, Vol.143, N°1, pp.13-23, Mai 2013 Control Engineering Practice, Vol.19, N°7, pp.688699, Septembre 2011 Bounding the support of a measure from its marginal moments D.HENRION, T.VYHLIDAL Positive trigonometric polynomials for strong stability of difference equations N.AOUANI, S.SALHI, G.GARCIA, H2 analysis for LPV systems by parameter M.KSOURI dependent Lyapunov functions A.SUBIAS, E.CHANTHERY, G.LE A l'heure des statecharts et de XPC target pour CORRE, J.MARTIN, V.MAHOUT la commande d'une montre digitale Proceedings of the American Mathematical Society, Vol.139, N°9, pp.3375-3382, Septembre 2011 Automatica , Vol.48, N°9, pp.2207-2212, Septembre 2012 IMA Journal of Mathematical Control and Information , Vol.29, N°1, pp.63-78, Mars 2012 J3eA - Journal sur l'enseignement des sciences et technologies de l'information et des systèmes, Vol.11, 6p., 2012, DOI: http://dx.doi.org/10.1051/j3ea/2012001 Computers and Industrial Engineering, Vol.60, N°2, pp.320-327, Mars 2011 International Journal of Communications, Network and System Sciences, Vol.3, N°9, pp.767-772, Septembre 2010 Nonlinear Analysis: Hybrid Systems (papier invité) , Vol.5, N°2, pp.289-300, Mai 2011 C.OLALLA MARTINEZ, I.QUEINNEC, R.LEYVA, A.EL AROUDI J.B.LASSERRE A.BEN HMIDA, M.HAOUARI, M.J.HUGUET, P.LOPEZ A.HAMZA, S.CHITROUB, A.O.DAHMANE, G.SALUT Solving two-stage hybrid flow shop using climbing depth-bounded discrepancy search An efficient noisy-ICA based approach to multiuser detection in IDMA systems RVSI10768 M.JUNGERS, E.B.CASTELAN, S.TARBOURIECH, J.DAAFOUZ RVSI10899 J.B.LASSERRE, M.PUTINAR RVSI10920 J.B.LASSERRE RVSI10934 M.AIT RAMI, D.HENRION RVSI11011 J.B.LASSERRE RVSI11072 T.GARAIX, C.ARTIGUES, D.FEILLET, D.JOSSELIN Finite L2-induced gain and »-contractivity of discrete-time switching systems including modal nonlinearities and actuator saturations Positivity and optimization for semi-algebraic SIAM Journal on Optimization, Vol.20, N°6, pp.3364functions 3383, Décembre 2010 A new look at nonnegativity on closed sets SIAM Journal on Optimization, Vol.21, N°3, pp.864885, Juillet 2011 A hierarchy of LMI inner approximations of the Automatica, Vol.47, N°7, pp.1455-1460, Juillet 2011 set of stable polynomials} An algorithm for semi-infinite polynomial TOP, Vol.20, N°1, pp.119-129, Avril 2012 optimization Optimization of occupancy rate in dial-a-ride Computers & Operations Research, Vol.38, N°10, problems via linear fractional column generation pp.1435-1442, Octobre 2011 RVSI11081 F.GOUAISBAUT, Y.ARIBA RVSI11082 A.HAIT, C.ARTIGUES RVSI11083 T.GARAIX, C.ARTIGUES, C.BRIAND C.ARTIGUES, R.LEUS, F.TALLA NOBIBON RVSI10759 RVSI11084 RVSI11085 RVSI11101 RVSI11126 RVSI11140 RVSI11144 RVSI11174 RVSI11188 Delay range stability of a class of distributed time delay systems On electrical load tracking scheduling for a steel plant Systems & Control Letters, Vol.60, N°3, pp.211-217, Mars 2011 Computers & Chemical Engineering, Vol.35, N°12, pp.3044-3047, Décembre 2011 Fast minimum float computation in activity networks under interval uncertainty Robust optimization for resource-constrained project scheduling with uncertain activity durations O.KONE, C.ARTIGUES, P.LOPEZ, Comparison of mixed integer linear M.MONGEAU programming models for the resourceconstrained project scheduling problem with consumption and production of resources M.AYALA PEREZ, C.ARTIGUES, The resource-constrained modulo scheduling C.HANEN, A.BENABID-NAJJAR problem: an experimental study L.BAUDOUIN, E.CREPEAU, Global Carleman estimate on a network for the J.VALEIN wave equation and application to an inverse problem J.B.LASSERRE Inverse polynomial optimization Journal of Scheduling, Vol.16, N°1, pp.93-103, Février 2013 Flexible Services and Manufacturing Journal, Vol.25, N°1-2, pp.165-205, Juin 2013 Flexible Services and Manufacturing Journal, Vol.25, N°1-2, pp.25-47, Juin 2013 Computational Optimization and Applications, Vol.54, N°3, pp.645-673, Avril 2013 Mathematical Control and Related Fields (MCRF), Vol.1, N°3, pp.307-330, Septembre 2011 Mathematics of Operations Research, Vol.38, N°3, pp.418-436, Août 2013 C.JAUBERTHIE, R.JAUBERTHIE, Indicateurs d'endommagement et durée de vie MATEC Web of Conferences, article n° 04002, Vol.2, Y.MELINGE d'ouvrages d'assainissement 8p., Septembre 2012, http://dx.doi.org/10.1051/matecconf/20120204002 S.TARBOURIECH, T.LOQUEN, Anti-windup strategy for reset control systems International Journal of Robust and Nonlinear C.PRIEUR Control, Vol.21, N°10, pp.1159-1177, Mai 2011 P.RIBOT, Y.PENCOLE, Generic characterization of diagnosis and International Journal of Prognostics and Health M.COMBACAU prognosis for complex heterogeneous systems Management, Publication Control Number: 023 , Vol.4, N°2, Septembre 2013 Scientific Production — 97 RVSI11210 D.HENRION, J.B.LASSERRE RVSI11211 RVSI11213 B.ROBU, L.BAUDOUIN, C.PRIEUR B.ROBU, L.BAUDOUIN, C.PRIEUR D.HENRION, C.LOUEMBET RVSI11274 J.B.LASSERRE RVSI11326 RVSI11368 S.TARBOURIECH, I.QUEINNEC, T.ALAMO, M.FIACCHINI, E.F.CAMACHO L.BAUDOUIN, S.ERVEDOZA RVSI11380 M.SATO, D.PEAUCELLE RVSI11387 E.HEBRARD, D.MARX, B.O'SULLIVAN, I.RAZGON Y.BEN HAIM, S.GRAVIER, A.LOBSTEIN, J.MONCEL S.GRAVIER, M.KOVSE, M.MOLLARD, J.MONCEL, A.PARREAU J.DARLAY, N.BRAUNER, J.MONCEL C.ARTIGUES, M.J.HUGUET, F.GUEYE, F.SCHETTINI, L.DEZOU M.BOUZNIF, J.MONCEL, M.PREISSMANN J.I.TORRES ZUNIGA, I.QUEINNEC, A.VANDE WOUWER C.OLALLA MARTINEZ, R.LEYVA, I.QUEINNEC, D.MAKSIMOVIC D.HENRION, F.MESSINE RVSI11212 RVSI11393 RVSI11400 RVSI11401 RVSI11485 RVSI11546 RVSI11552 RVSI11553 RVSI11555 RVSI11559 RVSI11560 RVSI11598 RVSI11601 RVSI11640 RVSI11658 RVSI11659 RVSI11686 RVSI11705 RVSI11706 RVSI11718 RVSI11737 L.BAUDOUIN, M.DE BUHAN, S.ERVEDOZA W.H.T.M.AANGENENT, W.P.M.H.HEEMELS, M.J.G.VAN DE MOLENGRAFT, D.HENRION, M.STEINBUCH C.OLALLA MARTINEZ, I.QUEINNEC, R.LEYVA, A.EL AROUDI R.LEYVA, C.OLALLA MARTINEZ, I.QUEINNEC, S.TARBOURIECH, C.ALONSO, L.MARTINEZ SALAMERO Y.PECHAUD, C.E.MARCATOROMAIN, E.GIRBALNEUHAUSER, I.QUEINNEC, Y.BESSIERE, E.PAUL G.MANJUNATH, D.FOURNIERPRUNARET Inner approximations for polynomial matrix inequalities and robust stability regions Contrôle actif des vibrations dans un système fluide/structure Active vibration control of a fluid/plate system using a pole placement controller Convex inner approximations of nonconvex semialgebraic sets applied to fixed-order controller design The existence of Gaussian cubature formulas Journal of Approximation Theory, Vol.164, N°5, pp.572-582, Mai 2012 Ultimate bounded stability and stabilization of Automatica, Vol.47, N°7, pp.1473-1481, Juillet 2011 linear systems interconnected with generalized saturated functions Convergence of an inverse problem for discrete SIAM Journal on Control and Optimization, Vol.51, wave equations N°1, pp.556-598, Janvier 2013 Gain-Scheduled Output-Feedback Controllers Automatica, Vol.49, N°4, pp.1019-1025, Avril 2013 Using Inexact Scheduling Parameters for Continuous-Time LPV SystemsGain-scheduled output-feedback controllers exploiting inexact scheduling parameters Soft constraints of difference and equality Journal of Artificial Intelligence Research, Vol.41, pp.97-130, Juillet 2011 Adaptive identification in Torii in the king lattice Electronic Journal of Combinatorics, Vol.18, N°1, 18p., Mai 2011 New results on variants of covering codes in Designs Codes and Cryptography, Vol.69, N°2, Sierpinski graphs pp.181-188, Novembre 2013 Dense and sparse graph partition Discrete Applied Mathematics, Vol.160, N°16-17, pp.2389-2396, Novembre 2012 State-based accelerations and bidirectional Transportation Research Part C: Emerging search for bi-objective multimodal shortest paths Technologies, Vol.27, pp.233-259, Février 2013 Generic algorithms for some decision problems on fasciagraphs and rotagraphs Observer-based output feedback linearizing control strategy for a nitrification-denitrification biofilter Robust gain-scheduled control of switchedmode DC-DC converters Finding largest small polygons with GloptiPoly Discrete Mathematics, Vol.312, N°17, pp.2707-2719, Septembre 2012 Chemical Engineering Journal, Vol.191, pp.243-255, Mai 2012 Optimal state-feedback control of bilinear DCDC converters with guaranteed regions of stability Passivity-based control for large-signal stability of high-order switching converters IEEE Transactions on Industrial Electronics, Vol.59, N°10, pp.3868-3880, Octobre 2012 IEEE Transactions on Power Electronics, Vol.27, N°6, pp.3006-3019, Juin 2012 Journal of Global Optimization, Vol.56, N°3, pp.10171028, Mai 2013 Global Carleman estimates for waves and Communications in Partial Differential Equations, applications Vol.38, N°5, pp.823-859, Mai 2013 Linear control of time-domain constrained Automatica, Vol.48, N°5, pp.736-746, Mai 2012 systems Asian Journal of Control, Vol.14, N°2, pp.335-347, Mars 2012 Combining hydrodynamic and enzymatic Chemical Engineering Science, Vol.80, pp.109-118, treatments for the intensification of multi-species Octobre 2012 thick biofilm removal Strong persistence of an attractor and generalized partial synchronization in a coupled chaotic system D.FOURNIER-PRUNARET, Chaotic sequences as pseudo-random A.K.TAHA sequences obtained from 2-dimensional maps F.EL GUEZAR, H.BOUZAHIR, Event detection occurrence for planar pieceD.FOURNIER-PRUNARET wise affine hybrid systems S.TARBOURIECH, Control design for quantized linear systems with F.GOUAISBAUT saturations M.FIACCHINI, S.TARBOURIECH, Quadratic stability for hybrid systems with C.PRIEUR nested saturations H.KUMENO, D.FOURNIERTwo-dimensional coupled parametrically forced PRUNARET, A.K.TAHA, Y.NISHIO map F.A.BENDER, J.M.GOMES DA SILVA Jr, S.TARBOURIECH IEEE Transactions on Automatic Control, Vol.57, N°6, pp.1456-1467, Juin 2012 Journal Européen des Systèmes Automatisés, Vol.45, N°7-10, pp.495-511, Mars 2012 International Journal of Control, Vol.85, N°6, pp.684694, Juin 2012 International Journal of Control, Vol.85, N°8, pp.1083-1092, Août 2012 Chaos, Vol.21, N°2, pp.023110-1-023110-13, Juin 2011 International Journal of Applied Mathematics, Vol.26, N°2, 14p., Décembre 2011 Nonlinear Analysis: Hybrid Systems, Vol.5, N°4, pp.626-638, Novembre 2011 IEEE Transactions on Automatic Control, Vol.57, N°7, pp.1883-1889, Juillet 2012 IEEE Transactions on Automatic Control, Vol.57, N°7, pp.1832-1838, Juillet 2012, International Journal of Bifurcation and Chaos, Vol.23, N°2, pp.1350031-1-1350031-20, Février 2013 A convex framework for the design of dynamic IET Control Theory & Applications, Vol.5, N°12, anti-windup for state-delayed systems pp.1388-1396, Décembre 2011 Scientific Production — 98 RVSI11842 RVSI11843 RVSI11845 RVSI11871 RVSI11892 RVSI11894 RVSI12010 RVSI12028 RVSI12052 RVSI12169 RVSI12186 RVSI12204 RVSI12205 RVSI12236 RVSI12252 RVSI12284 RVSI12296 RVSI12360 RVSI12361 RVSI12427 RVSI12430 RVSI12458 RVSI12460 RVSI12486 RVSI12488 RVSI12499 RVSI12502 RVSI12518 H.AFSAR, N.JOZEFOWIEZ, A Branch-and-Price algorithm for the windy rural RAIRO : Operations Research, Vol.45, N°4, pp.353P.LOPEZ postman problem 364, Octobre 2011 G.BLEKHERMAN, J.B.LASSERRE The truncated K-Moment problem for closure of Journal of Functional Analysis, Vol.263, N°11, open sets pp.3612-3616, Décembre 2012 J.B.LASSERRE, M.LAURENT, Moment matrices, border bases and real radical Journal of Symbolic Computation, Vol.51, pp.63-85, B.MOURRAIN, P.ROSTALSKI, computation Avril 2013 P.TREBUCHET I.LIZARRALDE, P.ESQUIROL, A decision support system to schedule design Projectics / Proyéctica / Projectique, N°7, pp.89-103, A.RIVIERE activities with interdependency and resource Janvier 2011 constraints B.LAMRINI, E.K.LAKHAL, M.V.LE Data Validation and Missing Data Neural Computing and Applications, Vol.20, N°4, LANN, L.WEHENKEL Reconstruction using Self Organizing Map for pp.575-588, Juin 2011 Water Treatment Z.LI, B.DAHHOU Fault-tolerant control based on insufficient fault Journal of Nanjing University of Science and information Technology, supp. 2, Vol.35, pp.52-55, Janvier 2011 S.U.NGUEVEU , C.PRINS, New lower bounds and exact method for the m- Transportation Science, Vol.47, N°1, pp.38-52, R.WOLFER CALVO PVRP Février 2013 B.GACIAS, J.CEGARRA, P.LOPEZ Scheduler-oriented algorithms to improve Engineering Applications of Artificial Intelligence, human-machine cooperation in transportation Vol.25, N°4, pp.801-813, Juin 2012 scheduling support systems D.HENRION Semidefinite characterisation of invariant Kybernetika, Vol.48, N°6, pp.1089-1099, Décembre measures for one-dimensional discrete 2012 dynamical systems M.J.HUGUET, P.LOPEZ, Weight-based heuristics for constraint Journal of Mathematical Modelling and Algorithms, W.KAROUI satisfaction and combinatorial optimization Vol.11, N°2, pp.193-215, Juin 2012 problems A.LAHIMER, P.LOPEZ, Improved bounds for hybrid flow shop Computers and Industrial Engineering, Vol.66, N°4, M.HAOUARI scheduling with multiprocessor tasks pp.1106-1114, Décembre 2013 D.ARZELIER, C.LOUEMBET, A new mixed iterative algorithm to solve the fuel- Journal of Optimization Theory and Applications, nullRONDEAU, A.RONDEPIERRE, optimal linear impulsive rendezvous problem Vol.159, N°1, pp.210-230, Octobre 2013 M.KARA-ZAITRI F.H'MIDA, P.LOPEZ Multi-site scheduling under production and International Journal of Computer Integrated transportation constraints Manufacturing , Vol.26, N°3, pp.252-266, Avril 2013 G.FUMAT, P.CHARGE, A.ZOUBIR, Using set theoretic estimation to address the IEEE Transactions on Wireless Communications, D.FOURNIER-PRUNARET PAPR problem of spectrum-constrained signals Vol.11, N°7, pp.2373-2381, Juillet 2012 F.FORNI, S.GALEANI, Model recovery anti-windup for continuous-time Automatica, Vol.48, N°8, pp.1502-1513, Août 2012 L.ZACCARIAN rate and magnitude saturated linear plants K.KIATMANAROJ, C.ARTIGUES, Frequency assignment in a SDMA satellite Computational Optimization and Applications, L.HOUSSIN, F.MESSINE communication system with beam decentring Vol.56, N°2, pp.439-455, Octobre 2013 feature J.CEGARRA, B.GACIAS, P.LOPEZ Implications of technological changes in vehicle Human Factors and Ergonomics in Manufacturing & routing interfaces for planners' constraint Service Industries, Vol.22, N°5, pp.468-480, processing Septembre 2012 A.GARULLI, A.MASI, Global stability and finite L2m-gain of saturated IEEE Transactions on Automatic Control, Vol.58, G.VALMORBIDA, L.ZACCARIAN uncertain systems via piecewise polynomial N°1, pp.242-246, Janvier 2013 Lyapunov functions G.DE TOMMASI, G.AMBROSINO, A software tool for the design of the current limit IEEE Transactions on Plasma Science, Vol.40, N°8, S.GALEANI, F.MAVIGLIA, avoidance system at the JET tokamak pp.2056-2064, Août 2012 A.C.NETO, A.PIRONTI, G.VARANO, R.VITELLI, L.ZACCARIAN D.FOURNIER-PRUNARET, Route to chaos in a circuit modeled by a 1- IEICE Nonlinear Theory and its Applications, Vol.3, P.CHARGE dimensional piecewise linear map N°4, pp.521-532, Octobre 2012 J.F.TREGOUET, D.PEAUCELLE, Periodic memory state-feedback controller: new IEEE Transactions on Automatic Control, Vol.58, D.ARZELIER, Y.EBIHARA formulation, analysis and design results N°8, pp.1986-2000, Août 2013 L.DOUAT, I.QUEINNEC, Identification and vibration attenuation for the IEEE Transactions on Control Systems Technology, G.GARCIA, M.MICHELIN, parallel robot Par2 Vol.22, N°1, pp.190-200, Janvier 2014 F.PIERROT L.MARTINEZ SALAMERO, Start-up control and voltage reguslation in a IEEE Transactions on Industrial Electronics, Vol.60, G.GARCIA, M.ORELLANA boost converter under sliding-mode operation N°10, pp.4637-4649, Octobre 2013 BARCELO, C.LAHORE, B.ESTIBALS J.B.LASSERRE Recovering an homogeneous polynomial from Discrete & Computational Geometry, Vol.50, N°3, moments of its level set pp.673-678, Octobre 2013 D.HENRION, M.KORDA Convex computation of the region of attraction IEEE Transactions on Automatic Control, Vol.59, of polynomial control systems N°2, pp.297-312, Février 2014 Y.ARIBA, F.GOUAISBAUT, Robust stability of time-varying delay systems: Asian Journal of Control, Vol.14, N°5, pp.1205-1214, K.H.JOHANSSON the quadratic separation approach Septembre 2012 S.RAHME, Y.LABIT, Sliding modes for anomaly observation in TCP IEEE Transactions on Control Systems Technology, F.GOUAISBAUT, T.FLOQUET networks: from theory to practice Vol.21, N°3, pp.1031-1038, Mai 2013, DOI 10.1109/TCST.2012.2198648 T.RIENMULLER, M.W.HOFBAUR, Mode set focused hybrid estimation International Journal of Applied Mathematics and L.TRAVE-MASSUYES, Computer Science, Vol.23, N°1, 13p., Janvier 2013 M.BAYOUDH Scientific Production — 99 RVSI12545 C.PRIEUR, S.TARBOURIECH, L.ZACCARIAN Lyapunov-based hybrid loops for stability and Automatica, Vol.49, N°2, pp.577-584, Février 2013 performance of continuous-time control systems RVSI12546 J.M.GOMES DA SILVA Jr, M.Z.OLIVEIRA, D.F.COUTINHO, S.TARBOURIECH C.ARTIGUES, P.BRUCKER, S.KNUST, O.KONE, P.LOPEZ, M.MONGEAU D.FOURNIER-PRUNARET, J.LEONEL ROCHA, A.CANECO, S.FERNANDES, C.GRACIO Static anti-windup design for a class on International Journal of Robust and Nonlinear nonlinear systems Control, Vol.24, N°5, pp.793-810, Mars 2014 RVSI12550 RVSI12551 RVSI12618 RVSI12619 RVSI12637 RVSI12650 RVSI12659 RVSI12660 RVSI12700 RVSI12705 A note on "event-based MILP models for resource-constrained project scheduling problems" Synchronization and basins of synchronized states 2-dimensional piecewise maps issued of coupling between 3-pieces one-dimensional map Major role of mRNA stability during bacterial adaptation Computers & Operations Research, Vol.40, N°4, pp.1060-1063, Avril 2013 Growth limiting conditions and denitrification govern and frequency of volume detachment of biofilms Taking into account period variations and actuators saturation in sampled-data systems Stability analysis of sampled-data systems using Sum of Squares O.LOPEZ SANTOS, L.MARTINEZ Efficiency analysis of a sliding-mode controlled SALAMERO, G.GARCIA, quadratic boost converter H.VALDERRAMA-BLAVI, D.O.MERCURI L.MARTINEZ SALAMERO, Analysis and design of a sliding-mode strategy G.GARCIA, M.ORELLANA for start-up control and voltage regulation in a BARCELO, C.LAHORE, buck converter B.ESTIBALS, C.ALONSO, C.E.CARREJO GONZALEZ D.PEAUCELLE, E.LAROCHE Commande robuste et applications. Editorial Chemical Engineering Journal, Vol.218, pp.368-375, Février 2013 C.DRESSAIRE, F.PICARD, E.REDON, P.LOUBIERE, I.QUEINNEC, L.GIRBAL, M.COCAIGN-BOUSQUET N.DERLON, C.COUFORTSAUDEJAUD, I.QUEINNEC, E.PAUL A.SEURET, J.M.GOMES DA SILVA Jr A.SEURET, M.M.PEET RVSI12809 J.F.BOTIA, C.ISAZA NARVAEZ, T.KEMPOWSKY, M.V.LE LANN, J.AGUILAR MARTIN H.SARMIENTO MALDONADO, C.ISAZA NARVAEZ, T.KEMPOWSKY, M.V.LE LANN L.TRAVE-MASSUYES RVSI13004 C.BRIAT, A.SEURET RVSI13012 F.FORNI, A.R.TEEL, L.ZACCARIAN L.HEDJAZI, M.V.LE LANN, T.KEMPOWSKY, F.DALENC, J.AGUILAR MARTIN, G.FAVRE F.SALLEM, B.DAHHOU, Z.LI, A.KAMOUN RVSI12706 RVSI13023 RVSI13043 RVSI13051 RVSI13085 RVSI13090 RVSI13102 RVSI13182 RVSI13186 RVSI13198 RVSI13219 International Journal of Bifurcation and Chaos, Vol.23, N°8, 1350134p., Août 2013 Plos One, Vol.8, N°3, e59059p., Mars 2013 Systems & Control Letters, Vol.61, N°12, pp.12861293, Novembre 2012 IEEE Transactions on Automatic Control, Vol.58, N°6, pp.1620-1625, Juin 2013 IET Power Electronics, Vol.6, N°2, pp.364-373, Février 2013 IET Power Electronics, Vol.6, N°1, pp.52-59, Janvier 2013, doi: 10.1049/iet-pel.2011.0494 Journal Européen ses Systèmes Automatisés, Vol.46, N°4-5, pp.331-333, Septembre 2012 Automaton based on fuzzy clustering methods Engineering Applications of Artificial Intelligence, for monitoring industrial processes Vol.26, N°4, pp.1211-1220, Avril 2013 Estimacion de estados funcionales en procesos Información Tecnológica, Vol.79, N°98, pp.24-2, complejos con base en agrupamiento difuso Mars 2013 Bridging technologies for diagnosis Procedia Computer Science, pp.1-18, Janvier 2012 Affine characterizations of minimum and modedependent dwell-times for uncertain linear switched systems Follow the bouncing ball: global results on tracking and state estimation with impacts Symbolic data analysis to defy low signal-tonoise ratio in microarray data for breast cancer prognosis On the representation of actuators fault diagnosis and systems invertibility IEEE Transactions on Automatic Control, Vol.58, N°5, pp.1304-1310, Mai 2013, DOI 10.1109/TAC.2012.2220031 IEEE Transactions on Automatic Control, Vol.58, N°6, pp.1470-1485, Juin 2013 Journal of Computational Biology, Vol.20, N°8, pp.610-620, Août 2013 International Journal of Mechanical, Industrial Science and Engineering, Vol.8, N°2, pp.233-244, Février 2014 G.DEACONU, C.LOUEMBET, Minimizing the effects of the navigation Journal of Guidance, Control, and Dynamics, Vol.37, A.THERON uncertainties on the spacecraft rendezvous N°2, pp.695-700, Mars 2014 precision C.JAUBERTHIE, N.VERDIERE, Fault detection and identification relying on set- Annual Reviews in Control, Vol.37, N°1, pp.129-136, L.TRAVE-MASSUYES membership identifiability Avril 2013, http://dx.doi.org/10.1016/j.arcontrol.2013.04.002 G.VALMORBIDA, Anti-windup design for saturating quadratic Systems & Control Letters, Vol.62, N°5, pp.367-376, S.TARBOURIECH, M.C.TURNER, systems Mai 2013 G.GARCIA D.NESIC, A.R.TEEL, Finite gain Lp stability for hybrid systems Automatica, Vol.49, N°8, pp.2384-2396, Août 2013 G.VALMORBIDA, L.ZACCARIAN L.TRAVE-MASSUYES Bridging control and artificial intelligence Engineering Applications of Artificial Intelligence, theories for diagnosis: a survey Vol.27, pp.1-16, Janvier 2014 V.ANDRIEU, S.TARBOURIECH Global asymptotic stabilization of some bilinear IEEE Transactions on Automatic Control, Vol.58, systems by hybrid state and output feedback N°6, pp.1602-1608, Juin 2013 F.FICHERA, C.PRIEUR, Using Luenberger observers and dwell-time International Journal of Robust and Nonlinear S.TARBOURIECH, L.ZACCARIAN logic for feedback hybrid loops in continuous- Control, Vol.23, N°10, pp.1065-1086, Juillet 2013 time control systems A.R.TEEL, F.FORNI, Lyapunov-based sufficient conditions for IEEE Transactions on Automatic Control, Vol.58, L.ZACCARIAN exponential stability in hybrid systems N°6, pp.1591-1596, Juin 2013 Scientific Production — 100 RVSI13249 F.SALLEM, B.DAHHOU, A.KAMOUN RVSI13329 G.VALMORBIDA, S.TARBOURIECH, G.GARCIA RVSI13333 A.SEURET, F.GOUAISBAUT RVSI13346 RVSI13373 RVSI13391 RVSI13407 RVSI13429 RVSI13430 RVSI13475 RVSI13489 RVSI13519 RVSI13616 RVSI13743 RVSI13764 RVSI14001 RVSI14016 RVSI14025 RVSI14136 RVSI14288 [RVSN] Ref A comparative analysis of two formulations for actuator faults detection and isolation: application to a waste water treatment process Design of polynomial control laws for polynomial systems subject to actuator saturation International Journal on Sciences and Techniques of Automatic control & compute, Vol.7, N°1, pp.17921816, Avril 2013 IEEE Transactions on Automatic Control, Vol.58, N°7, pp.1758-1770, Juillet 2013 Wirtinger-based integral inequality: Application Automatica, Vol.49, N°9, pp.2860-2866, Septembre to time-delay systems 2013 R.EMILION, S.REGIS, A general version of the triple Π operator International Journal of Intelligent Systems, Vol.28, A.DONCESCU N°10, pp.957-973, Octobre 2013 J.LEONEL ROCHA, D.FOURNIER- Strong and weak Allee effects and chaotic Discrete and Continuous Dynamical Systems PRUNARET, A.K.TAHA dynamics in Richards' growths Series B, Vol.18, N°9, pp.2397-2425, Novembre 2013 F.FLORES-BAHAMONDE, Control of a three-phase AC/DC VIENNA IET Power Electronics, Vol.7, N°5, pp.1073-1082, H.VALDERRAMA-BLAVI, converter based on the sliding mode loss-free Mai 2014 L.MARTINEZ SALAMERO, resistor approach J.MAIXE-ALTES, G.GARCIA O.GUENOUNOU, B.DAHHOU, Adaptive fuzzy controller based MPPT for Energy Conversion and Management, Vol.78, F.CHABOUR photovoltaic systems pp.843-850, Février 2014 G.DE TOMMASI, S.GALEANI, First experimental results with the Current Limit Fusion Engineering and Design, Vol.88, N°5, pp.400S.JACHMICH, E.JOFFRIN, Avoidance System at the JET tokamak 407, Juin 2013 M.LENNHOLM, P.J.LOMAS, A.C.NETO, F.MAVIGLIA, P.MC CULLEN, A.PIRONTI, F.G.RIMINI, A.C.C.SIPS, G.VARANO, R.VITELLI, L.ZACCARIAN L.BONCAGNI, D.CARNEVALE, A first approach to runaway electron control in Fusion Engineering and Design, Vol.88, N°6-8, C.CIANFARANI, B.ESPOSITO, FTU pp.1109-1112, Octobre 2013 G.GRANUCCI, G.MADDALUNO, D.MAROCCO, J.R.MARTINSOLIS, G.PUCELLA, C.SOZZI, G.VARANO, V.VITALE, L.ZACCARIAN F.FORNI, S.GALEANI, D.NESIC, Event-triggered transmission for linear control Automatica, Vol.50, N°2, pp.490-498, Février 2014 L.ZACCARIAN over communication channels M.FIGUEIREDO FERNANDEZ, Experiments and dynamic modeling of a Chemical Engineering Research and Design: B.BARROSO, X.M.MEYER, reactive distillation column for the production of Transactions of the Institution of Chemical Engineers M.MEYER, M.V.LE LANN, G.C.LE ethyl acetate by considering the heterogeneous Part A, Vol.91, N°12, pp.2309-2322, Décembre 2013 ROUX, M.BREHELIN catalyst pilot complexities M.SIALA, E.HEBRARD, An optimal arc consistency algorithm for a Constraints, Vol.19, N°1, pp.30-56, Janvier 2014 M.J.HUGUET particular case of sequence constraint K.E.AVRACHENKOV, Analytic perturbation of generalized inverses Linear algebra and its applications, Vol.438, N°4, J.B.LASSERRE pp.1793-1813, Février 2013 K.INOUE, A.DONCESCU, Completing causal networks by meta-level Machine Learning, Vol.91, N°2, pp.239-277, Mai H.NABESHIMA abduction 2013 B.LAMRINI, E.K.LAKHAL, M.V.LE A decision support tool for technical processes Desalination and Water Treatment, Vol.52, N°22-24, LANN optimization in drinking water treatment pp.4079-4088, Juin 2014 J.MONCEL, J.THIERY, Computational performances of a simple Computers and Industrial Engineering, Vol.67, A.WASERHOLE interchange heuristic for a scheduling problem pp.216-222, Janvier 2014 with an availability constraint S.TARBOURIECH, I.QUEINNEC, Stability analysis and stabilization of systems IEEE Transactions on Automatic Control, Vol.59, C.PRIEUR with input backlash N°2, pp.488-494, Février 2014 S.REGIS, A.DONCESCU, Bioprocess diagnosis based on the empirical Engineering Applications of Artificial Intelligence, N.GOMA use of distance measures in the theory of belief Vol.28, pp.165-173, Février 2014 functions M.CLAEYS, D.ARZELIER, Measures and LMIs for impulsive nonlinear IEEE Transactions on Automatic Control, Vol.59, D.HENRION, J.B.LASSERRE optimal control N°5, pp.1374-1379, Mai 2014 C.ISAZA NARVAEZ, Situation prediction based on fuzzy clustering for Information Sciences, Vol.279, pp.785-804, Avril H.SARMIENTO MALDONADO, industrial complex processes 2014 T.KEMPOWSKY, M.V.LE LANN Scientific Journals -‐national-‐ Authors Title Published in: RVSN09108 D.HENRION Le logo du CNRS est-il convexe ? RVSN09153 J.THOMAS, M.COMBACAU, B.JAMMES, H.POULARD, H.RESSENCOURT, S.SOLDANI, A.SUBIAS, L.TRAVE-MASSUYES RVSN09957 B.SARENI, G.FONTAN, E.CHANTHERY, S.CAUX RVSN11600 Y.PECHAUD, Y.BESSIERE, M.PEYRE LAVIGNE, I.QUEINNEC, E.PAUL Diagnostic à base de connaissances Revue de l'Electricité et de l'Electronique, N°4, 7p., hétérogènes : application au domaine de Avril 2009 l'automobile OrdoNet, un outil de modélisation et d'analyse des graphes potentiel-tâche sous Matlab Le couplage entre traitements hydrodynamiques et bio-chimiques "verts": un moyen pour limiter l'impact environnemental lié à l'utilisation de biocides dans l'industrie papetière Scientific Production — 101 Matapli, N°88, pp.63-71, Février 2009 Journal sur l'enseignement des sciences et technologies de l'information et des, Vol.8, 2009 Récents Progrès en Génie des Procédés, N°101, Novembre 2011 [RVSII] Ref Invited paper (journal) -‐international-‐ Authors Title RVSII09968 C.ARTIGUES, V.D.CUNG, G.FINKE, B.PENZ RVSII11736 C.PRIEUR, S.TARBOURIECH Published in: RAIRO - Operations Research, Vol.43, N°4, 2p., Avril 2009 New directions on hybrid control systems International Journal of Robust and Nonlinear Control. Special Issue, Vol.20, N°10, 3p., Octobre 2011 RVSII12356 L.HETEL, J.DAAFOUZ, Stabilization of linear impulsive systems through Nonlinear Analysis: Hybrid Systems, Vol.7, N°1, pp.4S.TARBOURIECH, C.PRIEUR a nearly-periodic reset 15, Février 2013 RVSII13767 E.OZCAN, C.ARTIGUES, Special Issue on Maintenance Scheduling: Journal of Scheduling, Vol.16, N°6, 1p., Juin 2013 E.BOURREAU, H.MURAT AFSAR theory and applications - Editorial [RVV] Ref Popular paper Authors RVV09660 D.HENRION RVV10810 RVV11078 D.HENRION D.HENRION Articles ROADEF 2007 - Editorial Title Published in: Le logo du CNRS - Courbes de Bézier et dessin Images des mathémathiques, mai 2009 vectoriel Les coupes des spectraèdres Images des mathémathiques, décembre 2010 Les ovales des spectraèdres Images des mathémathiques, Février 2011 Scientific Production — 102 HOPES theme: Microwaves and Optics: from Electromagnetism to Systems Papers in collaboration with other themes Theme nb papers ref Rob MAI09022, MAI09785, MAI10161, MAI10298, MAI10770, MAN11191, 8 RVSI08421, RVSI10545 MNBT 70 NII 58 RC 14 IC 1 GE 17 CII10388, CII12114, CIN11677, CIN13521, MAI09555, MAI09582, MAI09582, MAI09614, MAI09615, MAI09616, MAI09616, MAI09621, MAI09658, MAI09693, MAI09704, MAI09705, MAI09823, MAI09824, MAI09952, MAI09956, MAI10413, MAI10415, MAI10563, MAI10563, MAI10577, MAI10611, MAI11024, MAI11159, MAI11221, MAI11290, MAI11420, MAI11545, MAI11792, MAI12299, MAI12478, MAI12810, MAI12811, MAI12811, MAN09093, MAN09219, MAN09260, MAN10924, MAN10976, MAN11182, MAN11183, MAN11249, MAN12818, MSI09791, MSN10593, RVSI09508, RVSI09582, RVSI09582, RVSI09616, RVSI09616, RVSI10079, RVSI10307, RVSI10404, RVSI10563, RVSI10563, RVSI10753, RVSI10777, RVSI10799, RVSI10943, RVSI11381, RVSI11569, RVSI12398, RVSI12811, RVSI12811, RVSI13106, RVSI13533 CII10388, CII11550, CII12114, CIN11677, MAI09086, MAI09175, MAI09507, MAI09594, MAI09773, MAI09844, MAI10156, MAI10161, MAI10314, MAI10428, MAI10469, MAI10563, MAI10563, MAI10648, MAI10648, MAI10679, MAI11088, MAI11109, MAI11198, MAI11290, MAI11397, MAI11456, MAI11525, MAI11525, MAI11788, MAI12203, MAI12278, MAI12478, MAN10924, MSI11525, MSI11525, MSN10593, RVSI09476, RVSI09506, RVSI09544, RVSI10079, RVSI10404, RVSI10545, RVSI10563, RVSI10563, RVSI10648, RVSI10648, RVSI10751, RVSI10752, RVSI10771, RVSI10788, RVSI10799, RVSI11209, RVSI11381, RVSI12398, RVSI12591, RVSI13106, RVSI14221, RVSN10772 MAI09193, MAI09217, MAI10026, MAI10224, MAI10745, MAI12115, MAI12471, MAI12505, MAI14099, MAN12662, MAN12704, OPN10825, RVSI10699, RVSI12703 OPN10825 MAI09244, MAI09581, MAI09582, MAI09582, MAI09584, MAI09672, MAI09773, MAI10610, MAI10611, MAI12613, MAN09246, MAN13620, OPN10825, RVSI09582, RVSI09582, RVSI12049, RVSI12246 Paper summary for HOPES theme AFFI Poster -‐international-‐ Invited paper (conference) -‐international-‐ CII Invited paper (conference) -‐national-‐ CIN Conferences with published proceedings -‐international-‐ MAI Conferences with published proceedings -‐national-‐ MAN Conferences without proceedings -‐international-‐ MSI Conferences without proceedings -‐national-‐ MSN Books (contribution) -‐international-‐ OPI Books (contribution) -‐national-‐ OPN Gest editor -‐international-‐ RII Scientific Journals -‐international-‐ RVSI Scientific Journals -‐national-‐ RVSN Invited paper (journal) -‐international-‐ RVSII Scientific Production — 103 1 32 6 381 95 14 36 1 2 2 214 5 3 HOPES Theme publications [AFFI] Poster -‐international-‐ Ref Authors Title Published in: AFFI13352 R.LABERDESQUE, A.MONMAYRANT, Modeling H.CAMON, of cavity O.GAUTHIER-LAFAYE resonator integrated guides International NAMIS autumn school ( NAMIS School mode resonance filter ) 2013 du 02 septembre au 07 septembre 2013, Séoul (Corée du sud), International NAMIS autumn school, Septembre 2013, 1p. [CII] Ref Invited paper (conference) -‐international-‐ Authors Title CII10297 O.LLOPIS, P.H.MERRER, A.BOUCHIER, K.SALEH, G.CIBIEL V.BARDINAL, B.REIG, T.CAMPS, D.BARAT, E.DARAN, J.B.DOUCET, C.TURCK, JP.MALVAL, D-J.LOUGNOT, O.SOPPERA D.DRAGOMIRESCU, M.KRAEMER, M. M.JATLAOUI, P.PONS, H.AUBERT, A.THAIN, R.PLANA D.DRAGOMIRESCU CII10388 CII10493 CII10495 High-Q optical resonators: characterization and application to stabilization of lasers and high spectral purity microwave oscillators Micro-optics on VCSELs using NIR photopolymers Published in: SPIE Photonics West, San Francisco (USA), 24-27 Janvier 2010, pp.75791B-1-75791B-10 SPIE Photonics Europe, Bruxelles (Belgique), 12-16 Avril 2010, 12p. Wireless communicating nano-objects for International Conference Advanced Topics in structure health monitoring as enabler for safer, Optoelectronics, Microelectronics and greener aircrafts Nanotechnologies (ATOM-N 2010), Constanta (Roumanie), 26-29 Août 2010, 10p. Cyber-physical systems for aeronautic 5th International Conference on Systems (ICONS applications 2010), Menuires (France), 11-16 Avril 2010, 35p. All photonic crystal DFB lasers in GaAs Russian-French Workshop on Nanosciences and membranes and beyond Nanotechnologies (RFW 2010), Paris (France), Septembre 2010, 1p. (Résumé) CII10544 A.LARRUE, J.CAMPOS, O.GAUTHIER-LAFAYE, A.MONMAYRANT, S.BONNEFONT, F.LOZES-DUPUY CII10549 H.AUBERT Global electromagnetic simulation of multiscale IEEE International Conference on High Performance structures Computing and Simulation (HPCS 2010), Caen (France), 28 Juin - 2 Juillet 2010, 1p. (Résumé) CII10666 A.MONMAYRANT, A.LARRUE, J.CAMPOS, O.GAUTHIERLAFAYE, S.BONNEFONT, F.LOZES-DUPUY L.PIERANTONI, F.COCCETTI Photonic crystals for planar laser sources: new International Semiconducteur Conference (CAS functionalities and outlook 2010), Sinaia (Roumanie), 11-13 Octobre 2010, pp.143-150 CII10743 CII10863 CII10907 CII11008 Radio-frequency nanoelectronics: a paradigm in electronic systems design new Asia Pacific Microwave Conference (APMC 2010), Yokohama (Japon), 7-10 Décembre 2010, pp.10071014 Fabrication of graphene devices, issues and International Semiconducteur Conference (CAS prospects 2010), Sinaia (Roumanie), 11-13 Octobre 2010, 6p. G.DELIGEORGIS, G.KONSTANTINIDIS, M.DRAGOMAN, R.PLANA P.SEBBAH, J.ANDREASEN, Random lasing: from experiments to theory and pHOTONICS 2010, Guwahati (Inde), 12-15 S.BHAKTHA, S.BONNEFONT, back again Décembre 2010, 1p. (Résumé) O.GAUTHIER-LAFAYE, L.LABONTE, F.LOZES-DUPUY, A.MONMAYRANT, X.NOBLIN, C.VANNESTE A.LARRUE, J.CAMPOS, All photonic crystal DFBs for laser arrays Quantum Sensing and Nanophotonic Devices VIII, O.GAUTHIER-LAFAYE, San Francisco (USA), Janvier 2011, pp.79450G-1A.MONMAYRANT, 79450G-7 S.BONNEFONT, F.LOZES-DUPUY CII11134 J.G.TARTARIN CII11408 S.PACCHINI, F.COCCETTI, R.PLANA O.GAUTHIER-LAFAYE, A.MONMAYRANT, S.BONNEFONT, A.LARRUE, J.CAMPOS, A.ARNOULT, C.FONTAINE, F.LOZES-DUPUY P.JOSEPH, A.ALLOUCH, Towards two-phase nanofluidics: model French-Chinese Symposium on Microfluidics (FCSM K.BOURNINE, A.HAMOUMI, nanopores and bubble crystals for optics 2011), Dalian (Chine), 30 Octobre - 3 Novembre A.MONMAYRANT, O.GAUTHIER2011, 2p. LAFAYE, S.GEOFFROY, A.M.GUE CII11411 CII11550 CII11652 D.DRAGOMIRESCU Diagnostic tools for accurate investigations of GaN devices reliability International Conference on Noise and Fluctuations (ICNF 2011), Toronto (Canada), 12-16 Juin 2011, pp.456-461 Printed CNT RF network and interconnects International Microwave Symposium (IMS 2011), Baltimore (USA), 5-10 Juin 2011, 1p. 2D PhC optimization for highly robust 2nd order International Conference on Transparent Optical DFB's Networks (ICTON 2011), Stockholm (Suède), 26-30 Juin 2011, 3p. Design and implementation of UWB radio Asia Pacific Microwave Conference (APMC 2011), systems Melbourne (Australie), 5-8 Décembre 2011, 14p. Scientific Production — 104 CII12114 V.BARDINAL, T.CAMPS, B.REIG, VCSEL beam control with collective and self SPIE Photonics West, San Francisco (USA), 21-26 P.DEBERNARDI, O.SOPPERA, aligned polymer technologies Janvier 2012, 9p. D.BARAT, J.B.DOUCET, E.DARAN CII12392 X.BUET, A.MONMAYRANT, E.DARAN, D.BELHARET, F.LOZES-DUPUY, O.GAUTHIERLAFAYE G.DELIGEORGIS High reflectivity small aperture resonant grating International Conference on Transparent Optical filters for laser diode spectral stabilisation Networks (ICTON 2012), Coventry (UK), 2-5 Juillet 2012, 3p. CII12719 G.DELIGEORGIS, F.COCCETTI, G.KONSTANTINIDIS, R.PLANA Harvesting graphene's nanoelectronics CII12879 G.DELIGEORGIS Graphene ballistic electronics, a real world alternative CII13214 P.BOONPENG, H.MAKHLOUFI, G.LACOSTE, A.ARNOULT, C.FONTAINE G.ALMUNEAU, F.CHOUCHANE, S.CALVEZ, H.MAKHLOUFI, C.FONTAINE X.BUET, A.GUELMAMI, A.MONMAYRANT, S.CALVEZ, F.LOZES-DUPUY, O.GAUTHIERLAFAYE H.AUBERT, P.PONS, E.TENTZERIS Bi-assisted nucleation of GaAs grown on 5°off (001) silicon substrates by molecular beam epitaxy Three dimensional confinement technology based on buried patterned AlOx layers: potentials and applications for VCSEL arrays Robust and simplified cat's-eye external-cavity lasers using cavity resonant integrated grating filters CII12716 CII13245 CII13299 CII13494 Graphene processing properties for CII13694 P.NOUVEL, B.PUCCIO, M.JONKER, H.TAP Wireless remote sensing based on RADAR cross section variability measurement of passive electromagnetic sensors Dependability requirements and design compliance for interlock systems CII13695 P.NOUVEL, B.PUCCIO, M.JONKER, H.TAP Design process of the interlock system for the compact linear collider CII13807 E.TENTZERIS, S.KIM, R.VYAS, H.AUBERT, A.TRAILLE Nanotechnology-based inkjet-printed structures for wireless power transmission and ambient energy harvesting CII13808 H.AUBERT, P.PONS, E.TENTZERIS Wireless sensign from RCS variability measurement of passive and chipless electromagnetic sensors: a review CII13809 P.PONS, H.AUBERT, E.TENTZERIS Chipless passive wireless electromagnetic transduction CII14080 O.GAUTHIER-LAFAYE, J.CAMPOS, A.MONMAYRANT, F.LOZES-DUPUY, K.BHAKTHA, P.SEBBAH, C.VANNESTE P.PONS, H.AUBERT, E.TENTZERIS Random laser on planar GaAs waveguides CII14326 sensors with European Microwave Week ( EuMC ) 2012 du 28 octobre au 02 novembre 2012, Amsterdam (Pays Bas), Octobre 2012, 5p. International Conference on Nanosciences & Nanotechnologies ( NN ) 2012 du 03 juin au 06 juin 2012, Thessaloniki (Grèce), Juin 2012, 5p. International Conference on Micro-Nanoelectronics, Nanotechnologies and MEMs ( Micro & Nano ) 2012 du 07 octobre au 10 octobre 2012, Heraklion (Grèce), Octobre 2012 International Workshop Silicon & Photonics 2013 du 11 juin au 12 juin 2013, Rennes (France), Juin 2013, 2p. International Conference on Transparent Optical Networks ( ICTON ) 2013 du 23 juin au 27 juin 2013, Cartagène (Espagne), Juin 2013, 4p. International Conference on Transparent Optical Networks ( ICTON ) 2013 du 23 juin au 27 juin 2013, Cartagène (Espagne), Juin 2013, 2p. European Conference on Antennas and Propagation ( EuCAP ) 2013 du 08 avril au 12 avril 2013, Gothenburg (Suède), Avril 2013, 2p. International Conference on Control and FaultTolerant Systems ( SysTol ) 2013 du 09 octobre au 11 octobre 2013, Nice (France), Octobre 2013, 6p. International Particle Accelerator Conference ( IPAC ) 2013 du 12 mai au 17 mai 2013, Shanghai (Chine), Mai 2013, 3p. European Microwave Conference - European Microwave Week ( EuMC - EuMW ) 2013 du 06 octobre au 10 octobre 2013, Nuremberg (Allemagne), Workshop on Wireless Power Transmission. Techniques and Applications, Octobre 2013 European Microwave Conference - European Microwave Week ( EuMC - EuMW ) 2013 du 06 octobre au 10 octobre 2013, Nuremberg (Allemagne), Workshop on Energy Harvesting, Circuit and System Advances for Battery-Less Radio Frequency Identification (RFID) systems with Sensing Capability, Octobre 2013, 14p. European Microwave Conference - European Microwave Week ( EuMC - EuMW ) 2013 du 06 octobre au 10 octobre 2013, Nuremberg (Allemagne), Workshop on Chipless RFID and wireless sensing: technologies beyond SAW, Octobre 2013, 11p. SPIE Photonics West 2014 du 01 février au 06 février 2014, San Francisco (USA), Février 2014, 6p. Overview of electromagnetic transducers with International Conference on Microwaves, Radar, and radar interrogation for passive wireless sensors Wireless Communications ( MIKON ) 2014 du 16 applications juin au 18 juin 2014, Gdansk (Pologne), Juin 2014, 3p. [CIN] Ref Invited paper (conference) -‐national-‐ Authors Title CIN08450 M.ROMIER, A.BARKA, H.AUBERT, Iterative approach for the nonlinear simulation of Journées d'Etudes Electromagnétisme et Guerre J.P.MARTINAUD, M.SOIRON active antennas Electronique, Toulouse (France), 24-25 Novembre 2009, 2p. O.GAUTHIER-LAFAYE, Sources laser planaires à cristaux photoniques: Rencontres Thématiques. GT2 du GDR Ondes, Lille J.CAMPOS, S.BONNEFONT, nouvelles fonctionnalités et perspectives (France), Mai 2010, 1p. (Résumé) A.MONMAYRANT, F.LOZESDUPUY CIN10376 Scientific Production — 105 Published in: CIN11016 E.TOURNIER CIN11677 V.BARDINAL, B.REIG, D.BARAT, T.CAMPS, E.DARAN, J.B.DOUCET P.PONS, H.AUBERT, P.MENINI, E.TENTZERIS CIN13521 Conception de synthèses de fréquences intégrées en technologies silicium, analogiques, numériques et mixtes pour les fréquences supérieures au GHz Micro-optique intégrée dur diodes laser verticales Capteurs passifs électromagnétique sans fil transduction Journées Nationales Microondes ( JNM ) 2013 du 14 mai au 17 mai 2013, Paris (France), Mai 2013, 2p. Oscillateurs micro-ondes à résonateurs optiques Journée du Club Optique Micro-onde ( JCOM ) 2014 : topologies, performances en bruit et du 19 juin au 19 juin 2014, Lannion (France), Juin perspectives 2014, 2p. O.LLOPIS, Z.ABDALLAH, V.AUROUX, A.ALI SLIMANE, A.FERNANDEZ , J.MAXIN, G.PILLET, L.MORVAN [MAI] Ref Conferences with published proceedings -‐international-‐ Authors Title MAI08632 S.GODET, E.TOURNIER, A low phase noise and wide-bandwith biCMOS O.LLOPIS, A.CATHELIN, J.JUYON SiGe:C 0.25um digital frequency divider for an on-chip phase-noise measurement circuit S.GODET, E.TOURNIER, A baseband ultra-low noise SiGe: C BiCMOS O.LLOPIS, A.CATHELIN, J.JUYON 0.25 um amplifier and its application for an onchip phase-noise measurement circuit A.ALI MOHAMED ALI, Novel two-layer 4x4 SIW nolen matrix for multiN.J.G.FONSECA, F.COCCETTI, beam antenna application in Ku band H.AUBERT J.HENAUT, D.DRAGOMIRESCU, FPGA based high data rate radio interfaces for R.PLANA aerospace wireless sensor systems MAI08716 MAI08724 MAI08725 MAI09022 MAI09068 MAI09084 MAI09086 MAI09116 MAI09123 MAI09175 MAI09180 MAI09181 MAI09193 MAI09194 HORIZONS de l'Optique, Marseille (France), 4-7 Juillet 2011, 2p. à CIN14354 MAI08633 Workshop on Microelectronics beyond the GigaHertz, Clermont-Ferrand (France), 27-28 Janvier 2011, 25p. Published in: 9th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SIRF 2009), San Diego (USA), 19-21 Janvier 2009, 4p. 9th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SIRF 2009), San Diego (USA), 19-21 Janvier 2009, pp.128-4131 European Conference for Antenna and Propagation (EUCAP 2009), Berlin (Allemagne), 23-27 Mars 2009, 3p. The Fourth International Conference on Systems (ICONS 2009), Gosier (France), 1-6 Mars 2009, pp.173-178 A.BERTHE, A.LECOINTRE, Simulation plateform for wireless sensor The Eighth International Conference on Networks D.DRAGOMIRESCU, R.PLANA networks based on impulse radio ultra wide (ICN 2009), Gosier (France), 1-6 Mars 2009, pp.62band 66 C.CASENAVE, E.MONTSENY, Identification of electrostatically actuated MEMS 15th IFAC Symposium on System Identification H.CAMON models from real measurement data (SYSID 2009), St Malo (France), 6-8 Juillet 2009, pp.1738-1743 H.BADR EL DIN EL SHAARAWY, Analysis and design of a novel reconfigurable Progress in Electromagnetics Research Symposium F.COCCETTI, R.PLANA, M.ELdefected ground structure resonator on CPW (PIERS 2009), Beijing (Chine), 23-27 Mars 2009, 2p. SAID, E.AHASHISH technology J.F.LE NEAL, S.BRIDA, P.PONS, Wafer level packaging technology for harsh International Collaborative Aerosapace Development D.LELLOUCHI environment aerospace pressure sensors Micro Nanotechnologies: From concepts to systems (CANEUS 2009), Moffett Field (USA), 1-6 Mars 2009, 1p. (Résumé) N.NASREDDINE, J.L.BOIZARD, VHDL-AMS behavioral models for the simulation 6th International Multi Conference on Systems, J.Y.FOURNIOLS, J.HENAUT, of wireless sensors networks Signals and Devices (SSD'09) D.DRAGOMIRESCU, International Conference on Sensors, Circuits and A.COUSTOU Instrumentation Systems (SCI), Djerba (Tunisie), 2326 Mars 2009, pp.214-216 G.J.PAPAIOANNOU, Advanced dielectric charging characterization Smart System Integration (SSI 2009), Bruxelles F.COCCETTI, R.PLANA and modeling in capacitive MEMS (Belgique), 10-11 Mars 2009, 8p. E. B.TCHIKAYA, A.RASHID, Multi-scale Approach for the Electromagnetic Asia Pacific Microwave Conference, APMC 2009, F.KHALIL, H.AUBERT, H.LEGAY, modeling of metallic FSS Grids of Finite Singapor (Singapour), 7-10 Décembre 2009, 5p. N.J.G.FONSECA Thickness with Non-uniform Cells F.BLARD, A.BOUNOUH, MEMS multi-physics approach design and Symposium on Design, Test, Integration & H.CAMON, D.BELIERES, F.ZIADE fabrication for electrical metrology applications Packaging of MEMS/MOEMS (DTIP'2009), Rome (Italie), 1-3 Avril 2009, pp.214-218 O.GAUTHIER-LAFAYE, Ultra-high Q photonic crystal waveguides for Conference on Lasers and Electro-Optics (CLEO A.LARRUE, A.MONMAYRANT, DFB laser operation Europe 2009), Munich (Allemagne), 14-19 Juin S.BONNEFONT, F.LOZES-DUPUY 2009, 1p. O.GAUTHIER-LAFAYE, K.CHAN SHIN YU, A.L.FEHREMBACH, S.HERNANDEZ, P.ARGUEL, A.MONMAYRANT, S.BONNEFONT, F.LOZESDUPUY, A.SENTENAC R.SHARROCK, F.KHALIL, T.MONTEIL, H.AUBERT, F.COCCETTI, P.STOLF, L.BROTO, R.PLANA F.KHALIL, A.RASHID, H.AUBERT, F.COCCETTI, R.PLANA, C.J.BARRIOS-HERNANDEZ, YDENNEULIN 2D hexagonal resonant grating filters performances: measurement and modelling Conference on Lasers and Electro-Optics (CLEO Europe 2009), Munich (Allemagne), 14-19 Juin 2009, 1p. Deployment and management of large planar reflectarray antennas simulation on grid Challenges of Large Applications in Distributed Environments (CLADE), Munich (Allemagne), 9-10 Juin 2009, 8p. Application of scale changing technique-grid computing to the electromagnetic simulation of reflectarrays IEEE 2009 International Symposium on Antennas and Propagation (APS 2009), Charleston (USA), 1-5 Juin 2009, 4p. Scientific Production — 106 MAI09205 MAI09217 MAI09230 MAI09244 MAI09258 MAI09259 C.BREDIN, N.MOHAMED, J.C.ORLHAC, J.M.GOUTOULE, L.ESCOTTE, P.PIIRONEN J.HENAUT, A.HAKIRI, P.BERTHOU, D.DRAGOMIRESCU, T.GAYRAUD, R.PLANA P.H.MERRER, A.BOUCHIER, H.BRAHIMI, O.LLOPIS, G.CIBIEL J.RUAN, G.J.PAPAIOANNOU, N.NOLHIER, M.BAFLEUR, F.COCCETTI, R.PLANA S.HEBIB, H.AUBERT, O.PASCAL, N.J.G.FONSECA, L.RIES, JM.E.LOPEZ N.J.G.FONSECA, C.MANGENOT, S.HEBIB, H.AUBERT, O.PASCAL Active cold loads for radiometer calibration Wireless field buses for aerospace ground and in-flight testing: an experiment High-Q optical resonators for stabilization of high spectral purity microwave oscillators ESD stress in RF-MEMS capacitive switches: the influence of dielectric material deposition method Reconfigurable pyramidal antenna loaded by a cut-off waveguide-application to ARNS/RNSS services Interleaved multi-band pyramidal antennas combaining radio navigation and telemetry satellite applications Reconfigurable multi-band scatterers for microsensors identification MAI09266 F.CHEBILA, M. M.JATLAOUI, P.PONS, H.AUBERT MAI09276 A.ALI MOHAMED ALI, H.AUBERT, Novel impact waveguide-based composite N.J.G.FONSECA, F.COCCETTI right/left-handed phase shifter with arbitrary phase shift and broad bandwith: analysis and design H.BADR EL DIN EL SHAARAWY, Novel reconfigurable multiband bandpass filter F.COCCETTI, R.PLANA, M.ELusing defected ground structure on CPW SAID, E.AHASHISH technology A.ALI MOHAMED ALI, Wide band passive repeaters: design and A.COUSTOU, H.AUBERT measurements MAI09277 MAI09278 MAI09291 MAI09310 MAI09311 MAI09332 MAI09333 MAI09339 MAI09351 MAI09392 MAI09395 MAI09397 MAI09407 MAI09408 ESA Workshop on Millimetrewave Technology & Applications, Noordwijk (Pays Bas), 18-20 Mai 2009, 8p. 8th IFAC International Conference on Fieldbuses & Networks in Industrial & Embedded Systems (FeT'2009), Ansan (Corée), 20-22 Mai 2009, pp.8996 European Frequency and Time Forum - International Frequency Control Symposium (EFTF-IFCS 2009), Besançon (France), 21-14 Avril 2009, 4p. International Reliability Physics Symposium (IRPS 2009), Montréal (Canada), 26-30 Avril 2009, 5p. European Conference for Antenna and Propagation (EUCAP 2009), Berlin (Allemagne), 23-27 Mars 2009, 4p. IEEE 2009 International Symposium on Antennas and Propagation (APS 2009), Charleston (USA), 1-5 Juin 2009, 4p. IEEE 2009 International Symposium on Antennas and Propagation (APS 2009), Charleston (USA), 1-5 Juin 2009, 4p. IEEE 2009 International Symposium on Antennas and Propagation (APS 2009), Charleston (USA), 1-5 Juin 2009, 4p. IEEE 2009 International Symposium on Antennas and Propagation (APS 2009), Charleston (USA), 1-5 Juin 2009, 4p. IEEE 2009 International Symposium on Antennas and Propagation (APS 2009), Charleston (USA), 1-5 Juin 2009, 4p. T.BELUCH, D.DRAGOMIRESCU, Actuation command circuit for 60GHz RF MEMS International Conference on Electronics Computers V.PUYAL, R.PLANA phase shifters and Artificial Intelligence (ECAI 2009), Pitesti (Roumanie), 3-5 Juillet 2009, pp.54-57 T.M.VU, G.PRIGENT, R.PLANA MEMs based reconfigurable dual behavior NEWCAS - TAISA'09, Toulouse (France), 28 Juin - 1 resonator using BCB technology in W-frequency Juillet 2009, 4p. range G.MENEGHIN, C.VIALLON, A double balanced resistive down-conversion NEWCAS - TAISA'09, Toulouse (France), 28 Juin - 1 T.PARRA mixer integrated in BiCMOS SiGe technology for juillet 2009, 6p. 79 GHz automotive radar B.OUAGAGUE, F.COCCETTI, A modified CRLH cell optimized for easy IEEE 2009 International Symposium on Antennas R.PLANA reconfigurability and Propagation (APS 2009), Charleston (USA), 1-5 Juin 2009, 4p. M. M.JATLAOUI, F.CHEBILA, I.EL New electromagnetic transduction micro-sensor The 15th International Conference on Solid-State GMATI, P.PONS, H.AUBERT concept for passive wireless pressure Sensors, Actuators and Microsystems (Transducers monitoring application 2009), Denver (USA), 21-25 Juin 2009, 4p. H.ACHKAR, P.PONS, M.SARTOR, Simulating the real geometry of an electrostatic 2009 International Conference on Thermal, R.PLANA switch to study the effect of uncertainties on the Mechanical and Multi-Physics Simulation and pull-in voltage Experiments in Micro-Electronics and MicroSystems, Delft (Pays-Bas), 26-29 Avril 2009, pp.420423 C.J.BARRIOS-HERNANDEZ, Deployment of CEM applications on large scale Latinamerican Conference on High Performance F.KHALIL, YDENNEULIN, architectures Computing 2009, Merida (Venezuela), 21-25 H.AUBERT, F.COCCETTI, Septembre 2009, 8p. R.PLANA R.ALI LIMAN, J.L.MURARO, Noise in sampling phase detectors for RF PLL 39th European Microwave Conference : European P.LAUTIER, O.LLOPIS, Microwave week 2009 (EuMA: EuMC), Rome J.GRAFFEUIL (Italie), 28 Septembre-2 octobre 2009, pp.480-483 H.YOUSSEF, A.FERRAND, Iterative algorithm with finite element method for 20th MicroMechanics Europe workshop (MME P.PONS, R.PLANA bulge test characterization 2009), Toulouse (France), 20-22 Septembre 2009, 4p. A.GHANNAM, C.VIALLON, Dielectric microwave characterization of the SU- 39th European Microwave Conference : European D.BOURRIER, T.PARRA 8 thick resin used in an above IC process Microwave week 2009 (EuMA: EuMC), Rome (Italie), 28 Septembre-2 octoibre 2009, pp.10411044 M.CHEIKH MHAND, J.DAVID, RF source characterization of tire pressure 2nd European Wireless Technology Conference : J.G.TARTARIN, S.KESSLER, monitoring system European Microwave week 2009 (EuMA: EuWTC), A.MORIN 28-29 Septembre 2009, pp.176-178 H.BADR EL DIN EL SHAARAWY, Novel compact defected ground structure based Progress in Electromagnetic Research Symposium F.COCCETTI, R.PLANA, M.ELfilters on coplanar waveguide (PIERS 2009), Moscou (Russie), 18-21 Août 2009, SAID, E.AHASHISH 3p. Scientific Production — 107 MAI09409 A.ALI MOHAMED ALI, H.AUBERT, Novel compact three-layer wideband phase N.J.G.FONSECA, F.COCCETTI shifter in SIW technology MAI09419 H.BRAHIMI, H.MARTINEZREYES, P.H.MERRER, A.BOUCHIER, O.LLOPIS MAI09420 P.H.MERRER, A.BOUCHIER, High-Q optical resonators for laser stabilization H.BRAHIMI, O.LLOPIS, G.CIBIEL in microwave photonics oscillators Conference on Lasers and Electro-Optics (CLEO Europe), Munich (Allemagne), 14-19 Juin 2009, 1p. MAI09429 A.BERTHE, A.LECOINTRE, D.DRAGOMIRESCU, R.PLANA MAI09437 M. M.JATLAOUI, F.CHEBILA, P.PONS, H.AUBERT MAI09444 H.LEBLOND, J.F.VILLEMAZET, J.L.CAZAUX, D.PACAUD, J.J.HERREN, L.RIGAUDEAU, L.LAPIERRE, D.BAILLARGEAT, P.BLONDY, S.BILA, S.VERDEYME, C.DELAGE, C.QUENDO, J.F.FAVENNEC, B.POTELON, E.RIUS, F.SEYFERT, S.PACCHINI H.BRAHIMI, P.LACROIX, O.LLOPIS When new needs for satellite payloads meet with new filters architecture and technologies International Conference on Electronics Computers and Artificial Intelligence (ECAI 2009), Pitesti (Roumanie), 3-5 Juillet 2009, 4p. 39th European Microwave Conference : European Microwave week 2009 (EuMA: EuMC), Rome (Italie), 28 Septembre-2 octobre 2009, pp.53-56 4th European Microwave Integrated Circuits : European Microwave week 2009 (EuMA: EuMIC), Rome (Italie), 28 Septembre-2 octobre 2009, pp.1041-1044 M.KRAEMER, D.DRAGOMIRESCU, V.PUYAL, R.PLANA M.MATMAT, F.COCCETTI, A.MARTY, R.PLANA, C.ESCRIBA, J.Y.FOURNIOLS, D.ESTEVE A VHDL-AMS model of RF MEMS capacitive shunt switches MAI09497 MAI09502 MAI09507 MAI09514 MAI09518 MAI09519 MAI09520 MAI09525 MAI09555 MAI09559 MAI09560 MAI09577 S.HEBIB, H.AUBERT, O.PASCAL, N.J.G.FONSECA, L.RIES, JM.E.LOPEZ U.ZAGHLOUL HEIBA, A.BELARNI, F.COCCETTI, G.J.PAPAIOANNOU, L.BOUSCAYROL, P.PONS, R.PLANA U.ZAGHLOUL HEIBA, G.J.PAPAIOANNOU, F.COCCETTI, P.PONS, R.PLANA U.ZAGHLOUL HEIBA, G.J.PAPAIOANNOU, F.COCCETTI, P.PONS, R.PLANA A CAD approach of microwave optical systems including noise performance Medium access control layer for wireless sensor networks based on impulse radio ultra wide band Wireless interrogation techniques for a passive pressure micro-sensor using an EM transducer Optimization of a microwave frequency discriminator based on an optical delay line Capacitive RF MEMS analytical predictive reliability Reconfigurable pyramidal antenna loaded by a cut-off waveguide application to ARNS/RNSS services A comprehensive study for dielectric charging process in silicon nitride films for RF MEMS switches using Kelvin Probe Microscopy Progress in Electromagnetic Research Symposium (PIERS 2009), Moscou (Russie), 18-21 Août 2009, 2p. 39th European Microwave Conference : European Microwave week 2009 (EuMA: EuMC), Rome (Italie), 28 Septembre-2 octobre 2009, pp.1642-1645 International Topical Meeting on Microwave Photonics, MWP 2009, Valence (Espagne), 14-16 Octobre 2009, p.4 International Semiconducteur Conference, CAS 2009, Sinaia (Roumanie), 12-14 Octobre 2009, pp.307-310 20th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2009), Arcachon (France), 5-9 Octobre 2009, pp.1304-1308 3rd European Conference on Antennas and Propagation (EuCAP09), Berlin (Allemagne), 23-27 Septembre 2009, pp.1882-1885 The 15th International Conference on Solid-State Sensors, Actuators and Microsystems (Transducers 2009), Denver (USA), 21-25 Juin 2009, 5p. Investigation of dielectric charging in low frequency PECVD silicon nitride films for MEMS capacitive switches Dielectric charging in silicon nitride films for MEMS capacitive switches: effect of film thickness and deposition conditions MEMSWAVE 2009, Trento (Italie), 6-8 Juillet 2009, pp.125-128 International Symposium on Antennas and Propagation and USNC/URSI National radio Science Meeting Website (APSURSI '09), Charleston (EtatsUnis), 1-5 Juin 2009, 4p. Annual EOS/ESD Symposium & Exhibits 2009 du 30 août au 04 septembre 2009, Anaheim (USA), 30 Août - 4 septembre 2009, 6p. 20th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2009), Arcachon (France), 5-9 Octobre 2009, pp.1309-1314 B.OUAGAGUE, F.COCCETTI, Novel CRLH cell based on robust planar design 3rd International Congress on Advanced R.PLANA in CPW technology Electromagnetic Materials in Microwaves and Optics (METAMATERIALS 2009), Londres (GB), 30 Août 4 Septembre 2009, 3p. H.HALLIL, P.MENINI, H.AUBERT Novel millimeter-wave gas sensor using IEEE SENSORS 2009, Christchurch (Nouvelle dielectric resonator with sensitive layer on TiO2 Zélande), 25-28 Octobre 2009, pp.226-228 A.LECOINTRE, A.BERTHE, Performance evaluation of impluse radio ultra Military Communications Conference (MILCOM D.DRAGOMIRESCU, J.TURBERT, wide band wireless sensor networks 2009), Boston (Etats-Unis), 18-21 Octobre 2009, 7p. R.PLANA M.KRAEMER, A low-power high-gain LNA for the 60GHz band Asia Pacific Microwave Conference (APMC 2009), D.DRAGOMIRESCU, R.PLANA in a 65 nm CMOS technology Singapore (Singapore), 7-10 Décembre 2009, 4p. M.KRAEMER, Accurate Electromagnetic Simulation and 10th Topical Meeting on Silicon Monolithic Integrated D.DRAGOMIRESCU, R.PLANA Measurement of Milimeter-wave Inductors in Circuits in RF Systems (SIRF 2010), New Orleans Bulk CMOS Technology (Etats-unis), 11-13 Janvier 2010, pp.61-64 MAI09578 A.RASHID, H.AUBERT, H.LEGAY Modeling of Finite and Non-Uniform Patch Arrays Using Scale-Changing Technique MAI09581 J.RUAN, N.NOLHIER, D.TREMOUILLES, G.J.PAPAIOANNOU, R.PLANA ESD events in SiN RF-MEMS Capacitive Switches Scientific Production — 108 MAI09582 MAI09584 MAI09594 MAI09599 MAI09612 MAI09614 MAI09615 MAI09616 MAI09621 MAI09658 MAI09672 J.RUAN, N.NOLHIER, G.J.PAPAIOANNOU, D.TREMOUILLES, V.PUYAL, C.VILLENEUVE-FAURE, T.IDDA, F.COCCETTI, R.PLANA J.RUAN, G.J.PAPAIOANNOU, N.NOLHIER, D.TREMOUILLES, F.COCCETTI, R.PLANA S.SOULIMANE, S.PINON, H.CAMON Accelerated lifetime test of FR-MEMS switches under ESD stress 20th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2009), Arcachon (France), 5-9 Octobre 2009 Temperature dependence of ESD charging in RF MEMS capacitive switch 39th European Microwave Conference : European Microwave week 2009 (EuMA: EuMC), Rome (Italie), 28 Septembre-2 octobre 2009 Two dimensional modeling of dielectric 20th MicroMechanics Europe workshop (MME elastomer micro-actuator using finite element 2009), Toulouse (France), 20-22 Septembre 2009, method 4p. M.DING, M. M.JATLAOUI, Simulations Approach for an EM Transduction 20th MicroMechanics Europe workshop (MME P.PONS, H.AUBERT Based Pressure Sensor 2009), Toulouse (France), 20-22 Septembre 2009, 4p. U.ZAGHLOUL HEIBA, A.BELARNI, Kelvin Probe Microscopy for Dielectric Charging 20th MicroMechanics Europe workshop (MME F.COCCETTI, Assessment in silicon Nitride Films for MEMS 2009), Toulouse (France), 20-22 Septembre 2009 G.J.PAPAIOANNOU, Switches L.BOUSCAYROL, P.PONS, R.PLANA C.MAJ, M.OLSZACKI, M.AL Optimization of electrostatic membrane-based 20th MicroMechanics Europe workshop (MME BAHRI, P.PONS, A.NAPIERALSKI actuators and characterization of technological 2009), Toulouse (France), 20-22 Septembre 2009 process using analytical model M.OLSZACKI, C.MAJ, M.AL Experimental verification of TCR coefficients for 20th MicroMechanics Europe workshop (MME BAHRI, P.PONS, A.NAPIERALSKI uniformly doped resistors 2009), Toulouse (France), 20-22 Septembre 2009 M.OLSZACKI, C.MAJ, M.AL The effect of parasitic doping on P-type XXIII Eurosensors (EUROSENSORS 2009), BAHRI, P.PONS, J.C.MARROT, piezoresistors Lausane (Suisse), 6-9 Septembre 2009, 4p. A.NAPIERALSKI C.MAJ, M.OLSZACKI, M.AL Analytical model of electrostatic membraneThermal, Mechanical and Multi-Physics Simulation BAHRI, P.PONS, A.NAPIERALSKI based actuators and Experiments in Microelectronics and Microsystems (EuroSimE 2009), Delft (Pays Bas), 27-29 Avril 2009, 6p. M.AL BAHRI, P.PONS, P.MENINI Thermal behavior of silicon capacitive pressure 20th MicroMechanics Europe workshop (MME sensors using electrostatic pressure 2009), Toulouse (France), 20-22 Septembre 2009, 4p. J.RUAN, G.J.PAPAIOANNOU, Charging and discharging studies in microwave 10th Topical Meeting on Silicon Monolithic Integrated N.NOLHIER, D.TREMOUILLES, capacitive switches under high field pulse Circuits in RF Systems (SIRF 2010), New Orleans F.COCCETTI, R.PLANA discharges (Etats-unis), 11-13 Janvier 2010, pp.140-143 MAI09680 M. M.JATLAOUI, F.CHEBILA, P.PONS, H.AUBERT New Micro-sensors Identification Techniques Asia Pacific Microwave Conference (APMC 2009), Based on Reconfigurable Multi-band Scatterers Singapore (Singapore), Décembre 2009, 4p. MAI09681 T.M.VU, G.PRIGENT, J.RUAN, A.RUMEAU, P.PONS, R.PLANA T.M.VU, G.PRIGENT, R.PLANA Fabrication and characterization of RF-MEMS switch in V-band BCB Technology or MEMs Based reconfigurable Dual Behavio Resonator MAI09704 H.HALLIL, P.MENINI, H.AUBERT MAI09705 H.HALLIL, P.MENINI, H.AUBERT MAI09722 E. B.TCHIKAYA, A.RASHID, H.AUBERT, H.LEGAY, N.J.G.FONSECA J.M.DILHAC, M.BAFLEUR, J.Y.FOURNIOLS, C.ESCRIBA, R.PLANA, D.DRAGOMIRESCU, L.ASSOUERE, P.PONS, H.AUBERT, C. BUCHHEIT G.PRIGENT, T.M.VU, R.PLANA New microwave gas detector using dielectric 39th European Microwave Conference (EuMA resonator based on a Whispering-Gallery-Mode 2009), Rome (Italie), 28 Septembre-2 octobre 2009, pp.1097-1100 Novel Microwave Gas sensor using Dielectric Eurosensors XXIII conference, Lausannne (Suisse), Resonator With SnO2 Sensitive Layer 6-9 Septembre 2009, 4p. Electromagnetic modeling of finite metallic grid Progress in Electromagnetics Research Sympusium FSS structures using scale changing technique (PIERS 2010), Xian (Chine), 22-26 Mars 2010, 2p. (Résumé) Cross-functional design of wireless sensor International Workshop on Structural Health networks applied to Aircraft Health Monitoring Monitoring, Stanford (USA), 9-11 Septembre 2009, pp.901-908 MAI09683 MAI09692 MAI09693 MAI09773 MAI09782 MAI09784 Asia Pacific Microwave Conference (APMC 2009), Singapore (Singapore), 7-10 Décembre 2009, 4p. 10th International Symposium on RF MEMS and RF Microsystems (MEMSWAVE 2009 ), Trente (Italie), 68 Juillet 2009, pp.169-172 F.PENNEC, D.PEYROU, RF MEMS electrical contact resistance International Collaborative Aerospace Development A.BROUE, J.DHENNIN, P.PONS, calculation using mechanical contact simulations Micro Nanotechnologies: From concepts to systems R.PLANA, F.COURTADE and analytical models (CANEUS 2009), Moffett Field (Etats-unis), 1-6 Mars 2009, 9p. F.PENNEC, D.PEYROU, D.LERAY, DC Contact Modeling of Electrostatically 20th MicroMechanics Europe Workshop (MME C.VILLENEUVE-FAURE, Actuated Switches with Low Voltage 2009), Toulouse (France), 20-22 Septembre 2009, A.COUSTOU, P.F.CALMON, 4p. P.PONS, R.PLANA, F.COURTADE H.BADR EL DIN EL SHAARAWY, F.COCCETTI, R.PLANA, M.El SAID, E.AHASHISH Synthesis for Tunable Dual Behavior Resonator Asia Pacific Microwave Conference 2009 (APMC 2009), Singapore (Singapore), 7-10 Décembre 2009, 4p. Compact Reconfigurable Defeted Ground Asia Pacific Microwave Conference (APMC 2009), Structure (DGS) Based Multiband Bandpass Singapore (Singapore ), 7-10 Décembre 2009, 4p. Filters on Coplanar Waveguide Technology Scientific Production — 109 MAI09785 MAI09823 MAI09824 MAI09837 MAI09844 MAI09860 MAI09885 MAI09886 MAI09904 MAI09909 MAI09914 MAI09918 MAI09920 MAI09921 MAI09922 MAI09923 MAI09924 MAI09925 MAI09927 MAI09952 MAI09953 MAI09954 S.BOUAZIZ, M.ALI, H.AUBERT Low-profile Wideband Antenna with Asia Pacific Microwave Conference (APMC 2009), Unidirectional Inclined Radiation Pattern for c- Singapore (Singapore ), 7-10 Décembre 2009, 4p. band Airborne Applications C.VILLENEUVE-FAURE, P.PONS, Optimization of MEMS gold bridge planarization 20th MicroMechanics europe Workshop (MME R.PLANA 2009), Toulouse (France), 20-22 Septembre 2009, 4p. C.VILLENEUVE-FAURE, Low tressed gradient in gold micromachined 20th MicroMechanics europe Workshop (MME S.AOUBA, M.DILHAN, cantilevers 2009), Toulouse (France), 20-22 Septembre 2009, D.BOURRIER, P.PONS, R.PLANA 4p. J.F.LE NEAL, S.BRIDA, Wafer-Wafer Packaging for high Temperature 20th MicroMechanics europe Workshop (MME S.METIVET, J-Y.PAJAK, P.PONS, Soi Pressurre Sensor 2009), Toulouse (France), 20-22 Septembre 2009, O.STOJANOVIC, I.VALLET 4p. A.BOUNOUH, F.BLARD, Microsystems for electrical AC voltage metrology IMEKO World Congress on Fundamental and H.CAMON, D.BELIERES, F.ZIADE Applied Metrology, Lisbonne (Portugal), 6-11 Septembre 2009, pp.844-848 D.MEDHAT MOHAMED ABDEL Comparative Analysis of Different Techniques for Asia Pacific Microwave Conference (APMC 2009), MAKSOUD, A.TAKACS, Controlling Ratchet Effect in a Periodic Array of Singapore (Singapore ), 7-10 Décembre 2009, 4p. H.AUBERT, J.C.PORTAL Asymmetric Antidots U.ZAGHLOUL HEIBA, Effect of humidity on dielectric charging process MRS Fall Meeting, Boston (USA), 30 Novembre - 4 G.J.PAPAIOANNOU, in electrostatic capacitive RF MEMS switches Décembre 2009, 6p. F.COCCETTI, P.PONS, R.PLANA based on kevin probe microscopy surface potential measurements A.BELARNI, U.ZAGHLOUL HEIBA, Deposition Conditions on Charging Process in 10th International Symposiumon RF MEMS and RF G.J.PAPAIOANNOU, Silicon Nitride Films Based on Kevin Microsystems (MEMSWAVE 2009), Trento (Italie), 6F.COCCETTI, P.PONS, R.PLANA 8 Juillet 2009, pp.97-100 E.TOURNIER, O.LLOPIS, Phase noise behaviour of FBAR/SMR International Microwave Symposium (IMS 2009), S.GODET, S.GRIBALDO resonators. Application to frequency generation Boston (USA), 7-12 Juin 2009, 21p. and measurement J.G.TARTARIN, M.CHEIKH Transmission quality evaluation of tire pressure International IEEE Conference on Intelligent MHAND, S.KESSLER, A.MORIN, monitoring systems Transportation Systems (ITSC'09), St Louis (USA), 3J.DAVID 7 Octobre 2009, 5p. M. M.JATLAOUI, F.CHEBILA, RF MEMS/NEMS technologies for advanced Joint IFIN-HH, ICTP, IAEA Workshop on Trends in P.PONS, H.AUBERT, F.COCCETTI sensors and scavengers Nanoscience: Theory, Experiment, Technology, Sibiu (Roumanie), 23-30 Août 2009, 2p. M. M.JATLAOUI, F.CHEBILA, Micro and nanoengineering of electromagnetic Joint IFIN-HH, ICTP, IAEA Workshop on Trends in P.PONS, H.AUBERT, energy: applications to sensors, Nanoscience: Theory, Experiment, Technology, Sibiu F.COCCETTI, communications, scavenger (Roumanie), 23-30 Août 2009, 1p. (Résumé) G.J.PAPAIOANNOU, M.DRAGOMAN, D.NECULOIU, D.DRAGOMAN, A.MULLER, G.KONSTANTINIDIS, R.PLANA N.J.G.FONSECA, A.TAKACS, Design and experimental validation of a Asia Pacific Microwave Conference (APMC 2009), H.AUBERT, X.DOLLAT compact quadrifilar helix antenna in VHF band Singapore (Singapore), 7-10 Décembre 2009, 4p. A.TAKACS, N.J.G.FONSECA, Miniaturization of quadrifilar helix antenna for Loughborough Antennas & Propagation Conference H.AUBERT, X.DOLLAT VHF band applications (LAPC 2009), Loughborough (UK), 16-17 Novembre 2009, 4p. A.TAKACS, P.F.CALMON, Design and manufacturing of tunable badpass Workshop on Micromachining, Micromechanics and A.RUMEAU, H.AUBERT, P.PONS, filter for millimeter wave applications Microsystems (MME 2009), Toulouse (France), 20A.MULLER, D.NECULOIU, 22 Septembre 2009, 4p. R.PLANA M.ROMIER, A.BARKA, H.AUBERT, Iterative approach for the nonlinear simulation of International Symposium on Antennas and J.P.MARTINAUD, M.SOIRON active antennas Propagation and USNC/URSI National radio Science Meeting Website (APSURSI '09), Charleston (USA), 1-5 Juin 2009, 4p. N.J.G.FONSECA, H.AUBERT Very compact quadrifilar helix antenna in VHF International Symposium on Antennas and with quasi hemispherical radiation pattern Propagation and USNC/URSI National radio Science Meeting Website (APSURSI '09), Charleston (USA), 1-5 Juin 2009, 4p. M.PIGEON, C.MORLAAS, Highly compact composite antenna International Symposium on Antennas and H.AUBERT, B.SOUNY Propagation and USNC/URSI National radio Science Meeting Website (APSURSI '09), Charleston (USA), 1-5 Juin 2009, 4p. H.SALTI, E.FOURN, R.GILLARD, MEMS breakdown effects on the radiation of a European Conference for Antenna and Propagation H.LEGAY, H.AUBERT MEMS based reconfigurable reflectarray (EUCAP 2009), Berlin (Allemagne), 23-27 Mars 2009, 4p. P.YAMEOGO, U.ZAGHLOUL Self Calibrating pressure sensor for biomedical IEEE SENSORS 2009, Christchurch (Nouvelle HEIBA, M.AL BAHRI, P.PONS applications Zélande), 25-28 Octobre 2009, 4p. A.BROUE, T.FOURCADE, Validation of bending test by nanoidentification Workshop on Micromachining Micromechanics and J.DHENNIN, F.COURTADE, for micro-contact analysis of RF-MEMS Microsystems (MME 2009), Toulouse (France), 20C.DIEPPEDALE, P.PONS, switches 22 Septembre 2009, 4p. X.LAFONTAN, R.PLANA A.BROUE, J.DHENNIN, Methodology to analyze failure mechanisms of International Reliability Physics Symposium (IRPS C.SEGUINEAU, X.LAFONTAN, ohmic contatcs on MEMS switches 2009), Montréal (Canada), 26-30 Avril 2009, 5p. C.DIEPPEDALE, J.M.DESMARRES, P.PONS, R.PLANA Scientific Production — 110 MAI09955 G.DUBOIS, X.CHAUFFLEUR, S.AOUBA, P.PONS L.RABBIA, V.PERRUT, P.PONS, D.LELLOUCHI A.HAKIRI, P.BERTHOU, J.HENAUT, D.DRAGOMIRESCU, T.GAYRAUD G.J.PAPAIOANNOU, F.COCCETTI, R.PLANA On the Modeling of Dielectric Charging in RFMEMS Capacitive Switches MAI10219 H.YOUSSEF, A.FERRAND, P.PONS, R.PLANA A new iterative algorithm for the solution for the load deflection square membranes MAI10223 H.YOUSSEF, A.FERRAND, P.PONS, R.PLANA Loi de comportement de matériau composite déposé en couche mince MAI10224 F.KHALIL, R.SHARROCK, H.AUBERT, T.MONTEIL, F.COCCETTI, R.PLANA, YDENNEULIN S.HEBIB, H.AUBERT, N.J.G.FONSECA Distributed electromagnetic analysis of reflectarrays MAI09956 MAI10026 MAI10029 MAI10061 MAI10062 MAI10063 MAI10064 MAI10077 MAI10095 MAI101001 MAI10152 MAI10156 MAI10158 MAI10159 MAI10161 MAI10171 MAI10201 MAI10202 MAI10259 MEMS design with separated electrodes for actuation and RF commutation Self-assembled monolayers deposition in supercritical carbon dioxide Performance Evaluation of Wireless Sensor Network for Spacial and Aeronautic Systems MicroMechanics Europe Conference 2009, Toulouse (France), 20-22 Septembre 2009, 4p. MicroMechanics Europe Conference 2009, Toulouse (France), 20-22 Septembre 2009, 4p. International Conference on Telecommunications (IEEE ICT 2010), Doha (Qatar), 5-7 Avril 2010, 8p. Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SIRF 2010), Nouvelle Orleans (USA), 10-14 Janvier 2010, 4p. M.ERCOLI, M.KRAEMER, A Passive mixer for 60GHz Applications in 5th German Microwave Conference 2010, Berlin D.DRAGOMIRESCU, R.PLANA CCMOS 65nm Technology (Allemagne), 15-17 Mars 2010, 4p. M.KRAEMER, On the De-embedding of Small Value Millimeter- 5th German Microwave Conference 2010, Berlin D.DRAGOMIRESCU, A.RUMEAU, wave CMOS Inductor Measurements (Allemagne), 15-17 Mars 2010, pp.194-197 R.PLANA T.BELUCH, D.DRAGOMIRESCU, Cross-layered synchronisation protocol for International Conference on Networks (ICN 2010), F.PERGET, R.PLANA wireless sensor networks Menuires (France), 11-16 Avril 2010, pp.167-172 J.HENAUT, D.DRAGOMIRESCU, Validation of the MB-OFDM Modulation for High 5th International Conference on Systems (ICONS F.PERGET, R.PLANA Data Rate WSN for Satellite Ground Testing 2010), Menuires (France), 11-16 Avril 2010, pp.4146 A.KARA OMAR, Low Power Ultra Wideband Up-conversion IEEE Mediterranean Electrotechnical Conference D.DRAGOMIRESCU, Mixer in CMOS 0,13 µm Technology (MELECON 2010), La Valette (Malte), 25-28 Avril A.COUSTOU, R.PLANA 2010, 6p. M. M.JATLAOUI, F.CHEBILA, Capteur de pression passif à traduction Colloque Interdisciplinaire en Instrumentation (C2I P.PONS, H.AUBERT électromagnétique 2010), Le Mans (France), 26-27 Janvier 2010, 7p. M.DRAGOMAN, Microwave field effect Transistor based on International Semiconductor Conference (CAS) G.DELIGEORGIS, D.NECULOIU, graphene 2010 du 10 octobre au 14 octobre 2010, Sinaia D.DRAGOMAN, (Roumanie), Octobre 2010, pp.279-282 G.KONSTANTINIDIS, A.CISMARU, R.PLANA S.PACCHINI, V.CONEDERA, Tunability of carbon nanotubes resistance MRS Spring Meeting 2010, San Francisco (USA), 5F.MESNILGRENTE, N.FABRE, deposited by inkjet printing at low temperature 9 Avril 2010, 2p. E.FLAHAUT, F.COCCETTI, M.DRAGOMAN, R.PLANA I.EL GMATI, R.FULCRAND, RF MEMS fluidic variable inductor International Conference on Design & Technology of P.F.CALMON, A.BOUKABACHE, Integrated Systems in Nanoscale Era (DTSI 2010), P.PONS, H.BOUSSETTA, Hammamet (Tunisie), 23-25 Mars 2010, 3p. M.A.KALLALA, KBESBES S.BOUAZIZ, A.ALI MOHAMED Planar wideband microstrip antenna with The 4th European Conference on Antennas and ALI, S.HEBIB, H.AUBERT inclined radiation pattern for C-band airborne Propagation (EuCAP 2010), Barcelone (Espagne), applications 12-16 Avril 2010, 4p. B.PALACIN, N.J.G.FONSECA, Impact of the ground plane topology on the The 4th European Conference on Antennas and S.HEBIB, H.AUBERT performances of a pyramidal multiband quadri- Propagation (EuCAP 2010), Barcelone (Espagne), element antenna 12-16 Avril 2010, 5p. C.CASENAVE, G.MONTSENY, Identification of dynamic nonlinear thermal Symposium on Design, Test, Integration & H.CAMON, F.BLARD transfers for precise correction of bias induced Packaging of MEMS/MOEMS (DTIP 2010), Séville by temperature variations (Espagne), 5-7 Mai 2010, pp.376-381 E. B.TCHIKAYA, H.AUBERT, Modeling of a finite-size thick metallic IEEE International Symposium on Antennas and N.J.G.FONSECA waveguide FSS under oblique plane wave Propagation (AP-S 2010), Toronto (Canada), 11-17 incidence using scale changing technique Juillet 2010, 4p. A.LARRUE, O.GAUTHIERPhotonic Crystal DFB laser array robust to 15th European Conference on Integrated Optics LAFAYE, S.BONNEFONT, optical feedback (ECIO 2010), Cambridge (GB), 6-9 Avril 2010, 2p. A.MONMAYRANT, F.LOZESDUPUY S.MOUMDJI, A.LARRUE, GaSb-based photonic crystal coupled cavity SPIE Photonic Crystal Materials and Devices IX, D.BELHARET, P.DUBREUIL, lasers above 2.3um Bruxelles (Belgique), 12-16 Avril 2010, 10p. S.BONNEFONT, O.GAUTHIERLAFAYE, Y.ROUILLARD, A.VICET Multiband pyramidal antenna for radio navigation and telemetry systems Scientific Production — 111 11th International Conference on Thermal Mechanical and Multi-Physics Simulation and Experiments in Micro/Nanoelectronics and Systems (EuroSimE 2010), Bordeaux (France), 26-28 Avril 2010, pp.82-82 International Symposium on Aircraft Materials (ACMA 2010), Marrakech (Maroc), 12-14 Mai 2010, 7p. 2010 annual conference of the Applied Computational Electromagnetics Society (ACES 2010), Tempere (Finlande), 25-29 Avril 2010, 5p. International Symposium on Antenna Technology and Applied Electromagnetics & the American Electromagnetics Conference (ANTEM-AMEREM 2010), Ottawa (Canada), Ju5-9 illet 2010, 4p. MAI10281 MAI10287 MAI10293 C.BREDIN, D.SANSON, N.MOHAMED, J.C.ORLHAC, J.M.GOUTOULE, L.ESCOTTE, P.PIIRONEN E.LEYNIA DE LA JARRIGE, L.ESCOTTE, J.M.GOUTOULE, E.GONNEAU, J.RAYSSAC M.ERCOLI, M.KRAEMER, D.DRAGOMIRESCU, R.PLANA 23-8GHz and 36.5GHz active cold loads for radiometer calibration Characterization of an active cold load based on Microwave Technology and Techniques Workshop a SiGe HBT for radiometer calibration 2010, Noordwijk (Pays Bas), 10-12 Mai 2010, 10p. An ultra small passive balun for 60 GHz applications in CMOS 65nm technology IEEE International nEWCAS Conference (NEWCAS 2010), Montréal (Canada), 20-23 Juin 2010, pp.329332 Simple and efficient control of MEMS by means Symposium on Design, Test, Integration & of operatorial transformations Packaging of MEMS/MOEMS (DTIP 2010), Séville (Espagne), 5-7 Mai 2010, pp.148-153 Tunable BST components for microwave International Symposium on Integrated applications functionalities (ISIF 2010), San Juan (USA), 13-16 Juin 2010, 2p. MAI10298 E.MONTSENY, H.CAMON MAI10312 S.PAYAN, A.ROUSSEAU, S.PACCHINI, H.BADR EL DIN EL SHAARAWY, B.OUAGAGUE, J.DHENNIN, M.MAGLIONE, R.PLANA A.ALLOUCH, P.JOSEPH, Liquid-gas microfluidic devices for optical D.BOURRIER, A.MONMAYRANT, applications O.GAUTHIER-LAFAYE, P.ARGUEL, A.M.GUE S.PACCHINI, H.BADR EL DIN EL Study of tunability of BST with different SHAARAWY, B.OUAGAGUE, structures for microwave applications S.PAYAN, A.ROUSSEAU, M.MAGLIONE, R.PLANA H.BADR EL DIN EL SHAARAWY, Characterization of ferroelectric Ba0.6Sr0.4TiO3 S.PACCHINI, B.OUAGAGUE, thin film on different substrates for S.PAYAN, A.ROUSSEAU, reconfigurable microwave application M.MAGLIONE, R.PLANA M.KRAEMER, A dual-gate 60GHz direct up-conversion mixer D.DRAGOMIRESCU, R.PLANA with active IF balun in 65nm CMOS MAI10314 MAI10335 MAI10338 MAI10343 MAI10379 MAI10390 MAI10391 MAI10395 MAI10396 MAI10407 MAI10409 MAI10413 MAI10415 MAI10428 MAI10431 MAI10432 MAI10433 MAI10460 T.T.THAI, M. M.JATLAOUI, H.AUBERT, P.PONS, G.R.DEJEAN, E.TENTZERIS J.G.TARTARIN, M.CHEIKH MHAND, S.KESSLER, A.MORIN, J.DAVID J.G.TARTARIN, M.CHEIKH MHAND, S.KESSLER, A.MORIN, J.DAVID M. M.JATLAOUI, F.CHEBILA, S.BOUAZIZ, P.PONS, H.AUBERT Microwave Technology and Techniques . Workshop 2010, Noordwijk (Pays Bas), 10-12 Mai 2010, 5p. European Conference on Microfluidics (MicroFlu 2010), Toulouse (France), 8-10 Décembre 2010, 8p. MRS Spring Meeting, San Francisco (USA), 4-10 Avril 2010, 2p. (Résumé) European Microwave Week 2010 (EuMC 2010), Paris (France), 26 Septembre - 1 Octobre 2010, pp.886-889 A novel passive wireless ultrasensitive RF temperature transducer for remote sensing International Conference on Wireless Information Technology and Systems (ICWITS 2010), Hawaii (USA), 28 Août - 3 Septembre 2010, 4p. IEEE MTT International Microwave Symposium (IMS 2010), Anaheim (USA), 23-28 Mai 2010, 4p. An empirical study of RF link for wireless automotive passive entry system Vehicular Technology Conference (VTC 2010), Taipei (Taiwan), 16-19 Mai 2010, 5p. Efficient time diversity evaluation for direct tire pressure monitoring system Vehicular Technology Conference (VTC 2010), Taipei (Taiwan), 16-19 Mai 2010, 5p. Original identification technique of passive EM sensors using loaded transmission delay lines European Microwave Week 2010 (EuMC 2010), Paris (France), 26 Septembre - 1 Octobre 2010, pp.1106-1109 D.MINGLI, M. M.JATLAOUI, New ultra-sensitive passive microsensor based E-MRS Spring Meeting, Strasbourg (France), 7-11 P.PONS, H.AUBERT on EM transduction for remote sensing Juin 2010, 1p. (Résumé) A.BOUCHIER, K.SALEH, Theoretical and experimental study of the phase IEEE International Frequency Control Symposium P.H.MERRER, O.LLOPIS, noise of opto-electronic oscillators based on (IFCS 2010), Newport Beach (USA), 1-4 Juin 2010, G.CIBIEL high quality factor optical resonators pp.544-548 R.CORBIERE, B.LOUIS, A novel active variable gain X-band amplifier in International Microwave Symposium (IMS 2010), J.G.TARTARIN SiGe technology Anaheim (USA), 23-28 Mai 2010, 4p. B.OUAGAGUE, F.COCCETTI, Reconfigurable CRLH cells with new open-end European Microwave Week 2010 (EuMC 2010), C.VILLENEUVE-FAURE, T.IDDA, stub and RF MEMS switches on silicon Paris (France), 26 Septembre - 1 Octobre 2010, R.PLANA technology pp.1405-1408 B.OUAGAGUE, F.COCCETTI, Experimental study of a modified silicon-based IEEE International Symposium on Antennas and C.VILLENEUVE-FAURE, R.PLANA CRLH cell for enhanced reconfigurability Propagation (AP-S 2010), Toronto (Canada), 11-17 Juillet 2010, 4p. I.EL GMATI, P.F.CALMON, Liquid RF MEMS variable inductor Eurosensors XXIV, Linz (Autriche), 5-8 Septembre A.BOUKABACHE, P.PONS, 2010, 2p. H.BOUSSETTA, M.A.KALLALA, KBESBES F.CHEBILA, M. M.JATLAOUI, Pressure measurement from the RADAR IEEE International Symposium on Antennas and P.PONS, H.AUBERT interrogation of passive sensors Propagation (AP-S 2010), Toronto (Canada), 11-17 Juillet 2010, 4p. T.T.THAI, F.CHEBILA, M. A novel passive ultrasensitive RF temperature IEEE International Symposium on Antennas and M.JATLAOUI, P.PONS, transducer for remote sensing and identification Propagation (AP-S 2010), Toronto (Canada), 11-17 H.AUBERT, G.R.DEJEAN, utilizing radar cross sections variability Juillet 2010, 4p. E.TENTZERIS, R.PLANA T.T.THAI, F.CHEBILA, M. Design and development of a millimetre-wave European Microwave Week 2010 (EuMC 2010), M.JATLAOUI, P.PONS, novel passive ultrasensitive temperature Paris (France), 26 Septembre - 1 Octobre 2010, H.AUBERT, G.R.DEJEAN, transducer for remote sensing and identification pp.45-48 E.TENTZERIS, R.PLANA F.TAHIR, A.RASHID, E. Full-wave analysis of finite-size FSSsusing scale Loughborough Antennas & Propagation Conference B.TCHIKAYA, H.AUBERT changing technique under feed-horn excitation (LAPC 2010), Loughborough (UK), 8-11 Novembre 2010, 4p. Scientific Production — 112 MAI10467 S.BOUAZIZ, M. M.JATLAOUI, D.MINGLI, P.PONS, H.AUBERT MAI10469 I.EL GMATI, P.F.CALMON, R.FULCRAND, S.PINON, A.BOUKABACHE, P.PONS, M.A.KALLALA M. M.JATLAOUI, S.CHARLOT, D.DRAGOMIRESCU, P.PONS, H.AUBERT MAI10494 MAI10528 M.KRAEMER, M.ERCOLI, D.DRAGOMIRESCU, R.PLANA MAI10548 A.TAKACS, T.IDDA, S.AOUBA, H.AUBERT, P.PONS, E.GIRARD MAI10562 A.RASHID, H.AUBERT MAI10563 M.MATMAT, K.KOUKOS, F.COCCETTI, T.IDDA, A.MARTY, C.ESCRIBA, J.Y.FOURNIOLS, D.ESTEVE N.J.G.FONSECA, A.TAKACS, H.AUBERT, X.DOLLAT, H.DIEZ MAI10573 MAI10574 MAI10575 MAI10576 MAI10577 MAI10578 MAI10581 MAI10590 MAI10604 MAI10606 MAI10607 MAI10608 MAI10610 MAI10611 MAI10620 Metallic layer for EM pressure sensor sensitivity Micromechanics and Micro systems Europe improvement Workshop (MME'10), Enschende (Pays Bas), 26-29 Septembre 2010, 3p. Fluidic variable inductor using SU8 channel Micromechanics and Micro systems Europe Workshop (MME'10), Enschende (Pays Bas), 26-29 Septembre 2010, 4p. Heterogeneous integration of communicating nano-objects on flexible substrate International Conference Advanced Topics in Optoelectronics, Microelectronics and Nanotechnologies (ATOM-N 2010), Constanta (Roumanie), 26-29 Août 2010, 4p. A wideband single-balanced down-mixer for the Asia Pacific Microwave Conference (APMC 2010), 60GHz band in 65nm CMOS Yokohama (Japon), 7-10 Décembre 2010, pp.18491852 RF modeling and characterization of RF-MEMS International Symposiumon RF MEMS and RF switches for reconfigurable reflectarray Microsystems (MEMSWAVE 2010), Otranto (Italie), applications 28 Juin - 1 Juillet 2010, 4p. Modeling of electromagnetic coupling in finite Progress in Electromagnetics Research Sympusium arrays using scale-changing technique (PIERS 2010), Cambridge (USA), 5-8 Juillet 2010, 2p. (Résumé) Life expectancy and characterization of European Symposium on the Reliability of Electron capacitive RF MEMS switches Devices Failure Physics and Analysis (ESREF 2010), Gaeta (Italie), 11-15 Octobre 2010, 6p. Impact of height reduction techniques on the RF ESA Antenna Workshop on Antennas for Space performances of axial-mode helical antennas Applications, Noordwijk (Pays Bas), 5-8 Octobre 2010, 4p. A.TAKACS, D.MEDHAT A method for estimating the electromagnetic European Microwave Week 2010 (EuMC 2010), MOHAMED ABDEL MAKSOUD, power delivered by the front-end module used to Paris (France), 26 Septembre - 1 octobre 2010, H.AUBERT, J.C.PORTAL investigate the ratchet effect in two-dimensional pp.1560-1563 electron gas nanoscale system under microwave radiation M. M.JATLAOUI, F.CHEBILA, Réflecteur passif basé sur la transduction EM Journées Maghreb-Europe, Tabarka (Tunisie), 20-22 P.PONS, H.AUBERT pour la mesure sans fil de la pression Octobre 2010, 1p. (Résumé) E. B.TCHIKAYA, A.RASHID, Full wave analysis of large non-uniform metallic Asia Pacific Microwave Conference (APMC 2010), F.KHALIL, H.AUBERT, M.ROMIER, grid FSS under oblique incidence using scale Yokohama (Japon), 7-10 Décembre 2010, pp.61-64 N.J.G.FONSECA changing technique H.HALLIL, F.CHEBILA, P.MENINI, Feasibility of wireless gas detection with an IEEE Sensors, 2010 , Waikaloa (USA), 1-4 P.PONS, H.AUBERT FMCW RADAR interrogation of passive RF gas Novembre 2010, pp.759-762 sensor M. M.JATLAOUI, F.CHEBILA, Phenomenological theory and experimental IEEE Sensors, 2010 , Waikaloa (USA), 1-4 T.IDDA, P.PONS, H.AUBERT characterizations of passive wireless EM Novembre 2010, pp.643-646 pressure micro-sensor prototype H.YOUSSEF, A.FERRAND, Methods to improve reliability of bulge test European Symposium on Reliability of Electron P.F.CALMON, P.PONS, R.PLANA technique to extract mechanical properties of Devices Failure Physics and Analysis (ESREF thin films 2010), Gaeta (Italie), 11-15 Octobre 2010, 6p. A.ALI MOHAMED ALI, Novel double-layer multiple beam-forming ESA Antenna Workshop on Antennas for Space N.J.G.FONSECA, F.COCCETTI, matrices in substrate integrated waveguide Applications, Noordwijk (Pays Bas), 5-8 Octobre H.AUBERT technology 2010, 1p. U.ZAGHLOUL HEIBA, A novel low cost failure analysis technique for International Reliability Physics Symposium (IRPS F.COCCETTI, dielectric charging phenomenon in 2010), Anaheim (USA), 2-6 Mai 2010, pp.237-245 G.J.PAPAIOANNOU, P.PONS, electrostatically actuated MEMS devices R.PLANA O.GAUTHIER-LAFAYE, Lattice optimisation of all photonic crystal International Conference on Photonic and A.MONMAYRANT, A.LARRUE, second order DFB lasers for ultra high Q Electromagnetic Crystal Structures (PECS-IX 2010), J.CAMPOS, S.BONNEFONT, operation Grenade (Espagne), 26-30 Septembre 2010, 1p. F.LOZES-DUPUY (Résumé) A.AVOINE, C.VION, Characterization of photonic crystal resonant International Conference on Photonic and J.LAVERDANT, C.SCHWOB, modes in the visible region by scattering Electromagnetic Crystal Structures (PECS-IX 2010), S.BONNEFONT, O.GAUTHIERspectroscopy Grenade (Espagne), 26-30 Septembre 2010, 1p. LAFAYE, L.COOLEN, A.MAITRE (Résumé) A.LARRUE, S.MOUMDJI, Deep etching for photonic crystal in high International Conference on Photonic and D.BELHARET, P.DUBREUIL, aluminium content III-V system Electromagnetic Crystal Structures (PECS-IX 2010), S.BONNEFONT, O.GAUTHIERGrenade (Espagne), 26-30 Septembre 2010, 1p. LAFAYE, A.MONMAYRANT, (Résumé) F.LOZES-DUPUY J.RUAN, G.J.PAPAIOANNOU, Pulse induced charging tests in capacitive RF- European Microwave Week 2010 (EuMC 2010), D.TREMOUILLES, N.NOLHIER, MEMS switches Paris (France), 26 Septembre - 1 Octobre 2010, F.COCCETTI, R.PLANA pp.517-520 J.RUAN, C.VILLENEUVE-FAURE, Push-pull seesaw principle capacitive RF-MEMS International Symposiumon RF MEMS and RF F.COCCETTI, P.PONS, shunt switch Microsystems (MEMSWAVE 2010), Otranto (Italie), N.NOLHIER, R.PLANA 28-30 Juin 2010, 4p. C.FONTAINE, O.DESPLATS, Molecular beam regrowth of GaInAs-GaAs International Conference on Molecular Beam Epitaxy G.LACOSTE, A.ARNOULT quantum wells on GaAs substrates (BME 2010), Berlin (Allemagne), 22-27 Août 2010, 2p. Scientific Production — 113 MAI10632 MAI10648 MAI10650 MAI10667 MAI10679 MAI10696 MAI10709 MAI10745 MAI10757 MAI10770 MAI10784 MAI10811 MAI10862 MAI10933 MAI10950 MAI10951 MAI10957 MAI10961 MAI10962 MAI10968 MAI10974 U.ZAGHLOUL HEIBA, M.KOUTSOURELI, H.WANG, F.COCCETTI, G.J.PAPAIOANNOU, P.PONS, R.PLANA R.BOSSUYT, L.MAZENQ, V.CONEDERA, J.BALLET, A.M.GUE, J.P. CANO, H.CAMON M.ERCOLI, M.KRAEMER, D.DRAGOMIRESCU, R.PLANA Assessment of dielectric charging in electrostatically actuated MEMS devices: A comparison of available characterization techniques European Symposium on Reliability of Electron Devices Failure Physics and Analysis (ESREF 2010), Gaeta (Italie), 11-15 Octobre 2010, 6p. A multilevel polymer process for direct encapsulation of fluids in microfluidic systems European Conference on Microfluidics (MicroFlu 2010), Toulouse (France), 8-10 Décembre 2010, 7p. A high performance integrated balun for 60GHz Asia Pacific Microwave Conference (APMC 2010), application in 65nm CMOS technology Yokohama (Japon), 7-10 Décembre 2010, pp.18451848 K.CHAN SHIN YU, Design of a mid infrared resonant grating filter International Semiconducteur Conference (CAS A.L.FEHREMBACH, O.GAUTHIER2010), Sinaia (Roumanie), 11-13 Octobre 2010, LAFAYE, A.MONMAYRANT, pp.151-154 S.BONNEFONT, P.ARGUEL, F.LOZES-DUPUY, A.SENTENAC F.BLARD, H.CAMON, A.BOUNOUH, D.BELIERES Very high stability achievement in MEMS based IEEE International Conference on Micro Electro AC voltage references Mechanical Systems (MEMS 2011), Cancun (Mexique), 23-27 Janvier 2011, 4p. E. B.TCHIKAYA, F.TAHIR, Full wave analysis of finite uniform metallic grid Progress in Electromagnetics Research Symposium H.AUBERT FSS under oblique incidence using scale (PIERS 2011), Marrakech (Maroc), 20-23 Mars changing technique 2011, 2p. O.LLOPIS, H.BRAHIMI, Evaluation de différentes techniques de mesure Colloque International Francophone du club P.LACROIX du bruit de phase de sources optiques et CMOI/SFO "Contrôles et Mesures Optiques pour hyperfréquences utilisant une ligne à retard l'Industrie" optique , Toulouse (France), 15-19 Novembre 2010, 5p. M.ALEXANDRU, T.MONTEIL, Transmission-line modeling computational Present challenges in computational F.COCCETTI, P.LORENZ, electromagnetics on grids electromagnetics : complexity management multiH.AUBERT scales multi-physics uncertainty management statistics, Saint Malo (France), 2-3 Décembre 2010, 2p. A.FLOREA, O.LLOPIS, Experimental results on the nonlinear effects in International Symposium on Electrical and M.NITESCU, power BAW resonators Electronics Engineering (ISEEE 2010) F.CONSTANTINESCU , Galati (Roumanie), 16-18 Septembre 2010, 4p. S.HEBIB, S.BOUAZIZ, H.AUBERT, Circularly polarized RFID reader antennas for Progress in Electromagnetics Research Symposium F.LERASLE robotic application (PIERS 2011), Marrakech (Maroc), 20-23 Mars 2011, 1p. G.ASTRE, J.G.TARTARIN, Trapping related degradation effects in European Microwave Integrated Circuits Conference B.LAMBERT AlGaN/GaN HEMT (EuMIC 2010), Paris (France), 26 Septembre - 1 Octobre 2010, pp.298-301 B.OUAGAGUE, H.BADR EL DIN BST tunability studyat DC and microwave Asia Pacific Microwave Conference (APMC 2010), EL SHAARAWY, S.PACCHINI, frequencies by using IDC and MIM capacitors Yokohama (Japon), 7-10 Décembre 2010, 4p. S.PAYAN, A.ROUSSEAU, M.MAGLIONE, R.PLANA G.DELIGEORGIS, F.COCCETTI, Microwave transport of graphene based European Symposium on Carbon-based Electronics M.DRAGOMAN, components (EuroCarbon 2010), Aachen (Allemagne), 13-14 G.KONSTANTINIDIS, R.PLANA Juillet 2010, 1p. (Résumé) F.TAHIR, H.AUBERT EM modeling of periodic microstrip reflectarrays International Symposium on Antennas and using scale-changing technique Propagation and EM Theory (ISAPE 2010), Guangzhou (Chine), 29 Novembre - 2 Décembre 2010, 4p. A.BROUE, J.DHENNIN, Characterization of Au/Au, Au/Ru and Ru/Ru SPIE Photonics West 2011, San Francisco (USA), F.COURTADE, C.DIEPPEDALE, ohmic contacts in MEMS switches improved by 23-28 Janvier 2010, 13p. P.PONS, X.LAFONTAN, R.PLANA a novel methodology A.BROUE, J.DHENNIN, Thermal and topological characterization of Au, International Conference on Micro Electro F.COURTADE, P.L.CHARVET, Ru and Au/Ru based MEMS contacts using Mechanical Systems (MEMS 2010), Hong Kong P.PONS, X.LAFONTAN, R.PLANA nanoindenter (Chine), 24-28 Janvier 2010, 4p. A.BROUE, J.DHENNIN, Multi-physical characterization of micro-contact IEEE Holm Conference on Electrical Contacts, P.L.CHARVET, P.PONS, N.BEN materials for MEMS switches Charleston (USA), 4-7 Octobre 2010, 10p. JEMAA, F.COCCETTI, R.PLANA K.MAKASHEVA, B.DESPAX, Dielectric layers with gradual properties IEEE International Conference on Solid Dielectrics L.BOUDOU , G.TEYSSEDRE, (ICSD 2010), Potsdam (Allemagne), 4-9 Juillet 2010, L.RESSIER, P.PONS 4p. A.BROUE, J.DHENNIN, An experimental characterization of Au- and Ru- Symposium on Design, Test, Integration & P.L.CHARVET, F.COURTADE, based microcontacts for MEMS switches Packaging of MEMS/MOEMS (DTIP 2010), Seville P.HEEB, P.PONS, R.PLANA (Espagne), 5-7 Mai 2010, 2p. U.ZAGHLOUL HEIBA, On the impact of relative humidity and International Symposium on Science and B.BHUSHAN, P.PONS, environment gases on dielectric charging Technology of Materials, Interfaces and Processing G.J.PAPAIOANNOU, process in capacitive RF MEMS switches based (AVS 2010), Albuquerque (USA), 17-22 Octobre F.COCCETTI, R.PLANA on kelvin probe force microscopy 2010, 1p. N.TORRES, F.COCCETTI, High isolation T-switch for reconfigurable International Symposium on RF-MEMS and RFR.PLANA, B.REIG, J.L.CAZAUX switching matrix Microsystems ( MEMSWAVE ) 2010 du 28 juin au 01 juillet 2010, Otranto (Italie), Novembre 2012, 4p. Scientific Production — 114 MAI10999 MAI11005 MAI11006 D.NECULOIU, G.DELIGEORGIS, M.DRAGOMAN, D.DRAGOMAN, G.KONSTANTINIDIS, A.CISMARU, R.PLANA A.GHANNAM, D.BOURRIER, C.VIALLON, J.M.BOULAY, G.BOUISSE, T.PARRA M.ERCOLI, D.DRAGOMIRESCU, R.PLANA MAI11009 T.BELUCH, A.LECOINTRE, D.DRAGOMIRESCU, R.PLANA MAI11023 S.PACCHINI, V.CONEDERA, E.FLAHAUT, G.DROVAL, A.RUMEAU, F.COCCETTI, M.DRAGOMAN, R.PLANA C.VILLENEUVE-FAURE, S.PACCHINI, J.BAILLIEUX, M.DILHAN, D.COLIN, A.BROUZES, P.BOULANGER, R.PLANA E.LEYNIA DE LA JARRIGE, L.ESCOTTE, E.GONNEAU, J.M.GOUTOULE E.LEYNIA DE LA JARRIGE, L.ESCOTTE, E.GONNEAU, J.M.GOUTOULE S.MIAS, A.BANCAUD, H.CAMON MAI11024 MAI11042 MAI11056 MAI11088 MAI11109 MAI11132 MAI11133 MAI11146 MAI11159 MAI11178 MAI11179 MAI11196 MAI11198 MAI11221 MAI11222 MAI11224 MAI11234 Electromagnetic propagation in graphene in the European Microwave Integrated Circuits Conference mm-wave frequency range - European Microwave Week ( EuMIC - EuMW ) 2010 du 27 septembre au 28 septembre 2010, Paris (France), Septembre 2010, pp.377-380 High-Q SU8 based above-IC inductors for RF Topical Mzeeting on Silicon Monolithic Integrated power devices Circuits in RF Systems (SIRF 2011), Phoenix (USA), 16-19 Janvier 2011, pp.25-28 Small size high isolation Wilkinson power splitter Topical Mzeeting on Silicon Monolithic Integrated for 60GHz wireless sensor network applications Circuits in RF Systems (SIRF 2011), Phoenix (USA), 16-19 Janvier 2011, pp.85-88 Reconfigurable tactical impulse radio UWB for International Conference on Networks (ICN 2011), St communication and indoor localization Maarten (Pays Bas), 23-28 Janvier 2011, pp.235240 Carbon nanotube neworks for high frequency Colloque annuel du GDR-I GNT : Graphène et flexible electronics Nanotubes, Dourdan (France), 7-12 Février 2011, 1p. (Résumé) Carbon nanotube-PMMA composite thin: application to water quality Colloque annuel du GDR-I GNT : Graphène et Nanotubes, Dourdan (France), 7-10 Février 2011, 1p. (Résumé) International Conference on Noise and Fluctuations (ICNF 2011), Toronto (Canada), 12-16 Juin 2011, pp.336-339 Long-term stability of an SiGe HBT-based active IEEE International Geoscience and Remote Sensing cold load Symposium (IGARSS 2011), Sendai (Japon), 1-5 Août 2011, pp.3839-3842 Large area adaptive fluidic lens Symposium on Design Test Integration & Packaging of MEMS/MOEMS (DTIP 2011), Aix en Provence (France), 11-13 Mai 2011, pp.241-244 R.BOSSUYT, L.MAZENQ, A multilevel polymer process for liquid direct Symposium on Design Test Integration & Packaging V.CONEDERA, J.BALLET, encapsulation for opto-fluidic application of MEMS/MOEMS (DTIP 2011), Aix en Provence A.M.GUE, J.P. CANO, H.CAMON (France), 11-13 Mai 2011, pp.249-252 M.CHEIKH MHAND, J.DAVID, Channel characterization techniques for wireless Progress in Electromagnetics Research Symposium J.G.TARTARIN, S.KESSLER, automotive embedded systems (PIERS 2011), Marrakech (Maroc), 20-23 Mars A.MORIN 2011, 5p. J.G.TARTARIN, M.CHEIKH Electromagnetic analysis of an in-car complex Progress In Electromagnetics Research Symposium MHAND, J.DAVID, A.MORIN channel of propagation by means of non (PIERS 2011), Suzhou (Chine), 12-16 Septembre invasive measurements and FDTD based EM 2011, 5p. simulations J.JUYON, I.BURCIU, T.BORR, A low spurious level fractional-N frequency International Conference Mixed Design of Integrated S.THURIES, E.TOURNIER devider based on a DDS-like phase Circuits and Systems (MIXDES 2011), Gliwice accumulation operation (Pologne), 16-18 Juin 2011, 5p. A.ADANE, F.GALLEE, C.PERSON, Implementation of broadband microstrip-U European Conference on Antennas and Propagation V.PUYAL, C.VILLENEUVEcoupled patch array on Si/BCB membrane for (EUCAP 2011), Rome (Italie), 11-15 Avril 2011, 5p. FAURE, D.DRAGOMIRESCU beamforming application at 60 GHz Y.LAAROUSSI, L.CERUTTI, I.SUAREZ-ALVAREZ, J.B.DOUCET, P.FADEL, G.ALMUNEAU F.CHOUCHANE, J.B.DOUCET, A.ARNOULT, G.LACOSTE, C.FONTAINE, G.ALMUNEAU SiGe HBT-based active cold load: design, characterization and stability measurements Wet thermal oxidation of AlAsSb for lateral confinement in GaSb-based VCSELs Advances in buried oxide confinement engineering in AlxGa1-xAs/GaAs epitaxial structures International Symposium on Compound Semiconductors, Compound Semiconductor Week (CSW 2011), Berlin (Allemagne), 22-26 Mai 2011, 2p. International Symposium on Compound Semiconductors, Compound Semiconductor Week (CSW 2011), Berlin (Allemagne), 22-26 Mai 2011, 2p. IEEE International NEWCAS Conference (NEWCAS 2011), Bordeaux (France), 26-29 Juin 2011, 4p. T.BORR, J.JUYON, E.TOURNIER A both gaussian and sinusoidal phase-toamplitude converter for low-power ultra-highspeed Direct Digital Synthesizers F.BLARD, A.BOUNOUH, Development of a new technological MEMS SPIE Microtechnologies, Prague (République D.BELIERES, S.CHARLOT, process for AC voltage standards Tchèque), 18-20 Avril 2011, Vol.80-66, 9p. D.BOURRIER, H.CAMON C.VILLENEUVE-FAURE, Make carbon nanotube-PMMA composite thin: MRS Spring Meeting and Exhibit, San Francisco S.PACCHINI, M.DILHAN, application to water quality (USA), 25-29 Avril 2011, 1p. D.COLIN, A.BROUZES, P.BOULANGER, R.PLANA S.PACCHINI, V.CONEDERA, Room temperature ink-jet printed, carbon MRS Spring Meeting and Exhibit, San Francisco F.MESNILGRENTE, N.FABRE, nanotube networks for high frequency flexible (USA), 25-29 Avril 2011, 1p. E.FLAHAUT, F.COCCETTI, electronics M.DRAGOMAN, R.PLANA A.GHANNAM, D.BOURRIER, Low Cost SU8 Based Above IC Process for High-Mediterranean Conference on Innovative Materials C.VIALLON, T.PARRA Q RF Power Inductors Integration and Applications - CIMA 2011, Beyrouth (Liban), 1517 Mars 2011, 4p. F.TAHIR, H.AUBERT Efficient electromagnetic simulation of periodic European Conference on Antennas and Propagation microstrip reflectarrays using scale-changing (EUCAP 2011), Rome (Italie), 11-15 Avril 2011, 2p. technique Scientific Production — 115 MAI11235 MAI11241 MAI11279 MAI11288 MAI11290 MAI11304 MAI11357 MAI11360 MAI11377 MAI11397 MAI11406 MAI11407 MAI11420 MAI11421 MAI11453 MAI11454 MAI11455 MAI11456 MAI11466 MAI11467 MAI11489 F.TAHIR, H.AUBERT Equivalent electrical circuit model for design and optimization of MEMS-controlled reflectarray phase shifter cells M. M.JATLAOUI, Using flexible heterogeneous integration D.DRAGOMIRESCU, techniques towards wireless communicating S.CHARLOT, P.PONS, H.AUBERT, nano-sensors R.PLANA D.BOURRIER, M.DILHAN, Comparisons of the new thick negative resist to A.GHANNAM, H.GRANIER Su8 resist U.ZAGHLOUL HEIBA, Different stiction mechanisms in electrostatic B.BHUSHAN, P.PONS, MEMS devices: nanoscale characterization G.J.PAPAIOANNOU, based on adhesion and friction measurements F.COCCETTI, R.PLANA B.REIG, T.CAMPS, V.BARDINAL, Polymer MEMS for the active control of VCSEL D.BARAT, E.DARAN, J.B.DOUCET beam K.SALEH, A.BOUCHIER, P.H.MERRER, O.LLOPIS, G.CIBIEL K.CHAN SHIN YU, A.L.FEHREMBACH, F.LEMARCHAND, O.GAUTHIERLAFAYE, A.MONMAYRANT, P.ARGUEL, S.BONNEFONT, F.LOZES-DUPUY, A.SENTENAC A.BOUCHIER, K.SALEH, P.H.MERRER, O.LLOPIS European Conference on Antennas and Propagation (EUCAP 2011), Rome (Italie), 11-15 Avril 2011, 4p. Micro/Nano Electronics Packaging and Assembly Design and Manufacturing Forum (MiNaPAD Forum 2011), Grenoble (France), 11-12 Mai 2011, 11p. SPIE Advanced Lithography 2011, San Jose (USA), 27 Février - 3 Mars 2011, 8p. International Conference on Solid-State Sensors, Actuators and Microsystems (TRANSDUCERS'11), Beijing (Chine), 5-9 Juin 2011, pp.2478-2481 Conference on Lasers and Electro-Optics Europe (CLEO 2011), Munich (Allemagne), 22-26 Mai 2011, 1p. Fiber ring resonator based opto-electronic SPIE Photonics West 2011, San Francisco (USA), oscillator- phase noise optimisation and thermal 22-27 Janvier 2011, 10p. stability study GaAs based mid infrared filter with resonant Conference on Lasers and Electro-Optics Europe gratings (CLEO 2011), Munich (Allemagne), 22-26 Mai 2011, 1p. Phase noise reduction of narrow linewidth optical fibre-ring based microwave oscillators : modelling and experimental results S.HEBIB, H.AUBERT Triband antenna feeding circuit for circular polarization diversity A.ALLOUCH, P.JOSEPH, Liquid-gas microfluidics as a microstructuring A.MONMAYRANT, O.GAUTHIER- tool for optics LAFAYE, P.ARGUEL, F.LOZESDUPUY, S.GEOFFROY, A.M.GUE R.DE PAOLIS, S.PACCHINI, Circuit model of carbon-nanotube inks for F.COCCETTI, G.MONTI, microelectronic and microwave tunable devices L.TARRICONE, E.TENTZERIS, R.PLANA A.H.KHALIL, N.DELHOTE, 3-D pyramidal and collective Ku band filters S.PACCHINI, J.CLAUS, made in alumina by ceramic stereolithography D.BAILLARGEAT, S.VERDEYME, H.LEBLOND P.ARGUEL, J.GRISOLIA, Projet LUMELEC: mariage de l'optique et de J.LAUNAY, H.TAP, C.DUPRAT, l'électronique C.CAPELLO, F.GESSINN, F.GUERIN, C.ROUABHI P.ARGUEL, A.BOUCHIER, La harpe laser à climats musicaux: un projet L.COUTO-ROSADO, X.DOLLAT, pluridisciplinaire de médiation scientifique par J.FANCHON, B.FRANC, l'art C.GANIBAL, F.LOZES-DUPUY, P.MARCOUL, A.MAUFFRET D.DRAGOMIRESCU, M. Flexible integration techniques for wireless M.JATLAOUI, S.CHARLOT, sensors network deployment: application to T.BELUCH, P.PONS, H.AUBERT, aircraft structure health monitoring R.PLANA F.PERGET, D.DRAGOMIRESCU, Performance evaluation of beamforming M.JACOB, T.KURNER, solutions for mm wave wireless systems C.VAUCHER, R.PLANA D.DRAGOMIRESCU, A.THAIN, Simulation platform for UWB impulse radio F.CAMPS, F.PERGET, wireless sensor networks dedicated to A.LECOINTRE, A.BERTHE, aeronautic applications R.PLANA S.SOULIMANE, S.PINON, Dielectric elastomer micro actuator made in W.P.SHIH, H.CAMON micromachining technology: finite element modelling and deformation measurement J.HENAUT, D.DRAGOMIRESCU, High level synthesis of high data rate metrology R.PLANA wireless sensor network for aerospace applications S.GODET, E.TOURNIER, An integrated phase noise measurement bench O.LLOPIS, A.CATHELIN for on-chip characterization of resonators and VCOs S.BOUAZIZ, P.PONS, H.AUBERT, Reconfigurable liquid antenna for wireless A.TRAILLE, E.TENTZERIS temperature sensing Scientific Production — 116 Conference on Lasers and Electro-Optics Europe (CLEO 2011), Munich (Allemagne), 22-26 Mai 2011, 1p. (Résumé) European Microwave Week (EuMW 2011), Manchester (UK), 9-14 Octobre 2011, pp.890-893 EOS Conference on Optofluidics (EOSOF 2011), Munich (Allemagne), 23-25 Mai 2011, 2p. International Microwave Symposium (IMS 2011), Baltimore (USA), 5-10 Juin 2011, 4p. International Microwave Symposium (IMS 2011), Baltimore (USA), 5-10 Juin 2011, 4p. Colloque sur l'Enseignement des Technologies et des Sciences de l'Information et des Systèmes (CETSIS 2011), Trois Rivières (Canada), 23-26 Octobre 2011, 6p. Colloque sur l'Enseignement des Technologies et des Sciences de l'Information et des Systèmes (CETSIS 2011), Trois Rivières (Canada), 23-26 Octobre 2011, 6p. International Workshop on Structural Health Monitoring (IWSHM 2011), Stanford (USA), 13-15 Septembre 2011, pp.1519-1526 Global Communications Conference (GLOBECOM 2011), Houston (USA), 5-9 Décembre 2011, 5p. International Workshop on Structural Health Monitoring (IWSHM 2011), Stanford (USA), 13-15 Septembre 2011, pp.955-962 Eurosensors XXV, Athènes (Grèce), 4-7 Septembre 2011, 4p. Synopsys User Group (SNUG 2011), Grenoble (France), 23 Juin 2011, 21p. IEEE International Frequency Control Symposium (IFCS) and European Frequency and Time Forum (EFTF), joint conference, San Francisco (USA), 2-5 Mai 2011, pp.108-112 International Conference on Microwave and High Frequency Heating (AMPERE 2011), Toulouse (France), 5-8 Septembre 2011, 4p. MAI11522 MAI11525 MAI11542 MAI11545 MAI11672 MAI11673 MAI11674 MAI11733 MAI11734 MAI11756 A.GHANNAM, L.OURAK, D.BOURRIER, C.VIALLON, T.PARRA A.ALLOUCH, K.BOURNINE, P.JOSEPH, S.GEOFFROY, A.BOUCHIER, A.MONMAYRANT, O.GAUTHIER-LAFAYE, F.LOZESDUPUY, A.M.GUE U.ZAGHLOUL HEIBA, G.J.PAPAIOANNOU, B.BHUSHAN, F.COCCETTI, P.PONS, R.PLANA On the reliability of electrostatic NEMS/MEMS devices: Review of present knowledge on the dielectric charging and stiction failure mechanisms and novel characterization methodologies J.G.TARTARIN, S.KARBOYAN, I-DLTS, electrical lag and low frequency noise F.OLIVIE, G.ASTRE, B.LAMBERT measurements of trapping effects in AlGaN/GaN HEMT for reliability studies F.CONSTANTINESCU, Physical and behavioral circuit models of power A.G.GHEORGHE, M.NITESCU, BAW resonators A.FLOREA, O.LLOPIS G.STROE, A.FLOREA, O.LLOPIS, Reduction of second harmonic distorsion using F.CONSTANTINESCU, anti-series and anti-parallel connections of M.NITESCU power baw resonators G.STROE, A.FLOREA, O.LLOPIS, Measurement of nonlinear effects in filters F.CONSTANTINESCU, based on BAW resonators with AlN M.NITESCU D.MEDHAT MOHAMED ABDEL Optimum position of the two-dimensional MAKSOUD, A.TAKACS, electron Gas sample in the cryogenic metallic H.AUBERT cavity system used in studying ratchet effect D.MEDHAT MOHAMED ABDEL Investigation of the metallic cavity influence on MAKSOUD, A.TAKACS, the electromagnetic behavior of the setup used H.AUBERT, J.C.PORTAL in studying the ratchet effect L.PIERANTONI, D.MENCARELLI, Analysis of the electromagnetic/coherent T.ROZZI, F.COCCETTI transport problem in graphene nanoribbons MAI11757 D.MENCARELLI, T.ROZZI, L.PIERANTONI, F.COCCETTI MAI11784 A.TRAILLE, S.BOUAZIZ, H.AUBERT, P.PONS, E.TENTZERIS T.T.THAI, H.AUBERT, P.PONS, G.R.DEJEAN, E.TENTZERIS, R.PLANA T.T.THAI, H.AUBERT, P.PONS, E.TENTZERIS, R.PLANA M.PIGEON, C.MORLAAS, H.AUBERT MAI11785 MAI11786 MAI11787 MAI11788 MAI11791 MAI11792 MAI11793 MAI11808 Efficient low cost process for single step metal European Solid-State Device Research Conference forming of 3D interconnected above-IC inductors (ESSDERC 2011), Helsinki (Finlande), 12-16 Septembre 2011, 4p. Liquid-gas microfluidics as a microstructuring International Conference On Miniaturized Systems tool for optics: controlled defects inside selfFor Chemistry and Life Sciences (MicroTAS 2011), organized bubble crystals Seattle (USA), 2-6 Octobre 2011, 1985p. MAI11809 U.ZABIT, O.BERNAL, T.BOSCH MAI11810 R.S.MATHARU, J.PERCHOUX, A.D.RAKIC MAI11811 T.PHAM, HC.SEAT, O.BERNAL, M.SULEIMAN European Microwave Week (EuMW 2011), Manchester (UK), 9-14 Octobre 2011, 4p. International Symposium on Signals, Circuits and Systems (ISSCS 2011), Iasi (Roumanie), 30 Juin - 1 Juillet 2011, 4p. International Semiconductor Conference (CAS 2011), Sinaia (Roumanie), 17-19 Octobre 2011, pp.181-184 International Symposium of Electrical Engineering (ISEE 2011), Targoviste (Roumanie), 22-23 Novembre 2011, 4p. European Microwave Week 2011 (EuMC 2011), Manchester (UK), 9-14 Octobre 2011, pp.964-967 Progress in Electromagnetics Research Symposium (PIERS 2011), Marrakech (Maroc), 20-23 Mars 2011, 2p. Assemblée Générale et Symposium Scientifique de l’Union Radio Scientifi que Internationale (URSI GASS 2011), Istanboul (Turquie), 13-20 Août 2011, 4p. Self-consistent simulation of local potential in Symposium Scientifique de l’Union Radio Scientifi external-gate biased graphene nanoribbons que Internationale (URSI GASS 2011), Istanboul (Turquie), 13-20 Août 2011, 4p. A novel wireless passive temperature sensor IEEE SENSORS 2011, Limerick (Irelande), 28-31 utilizing microfluidic principles in millimeter-wave Octobre 2011, 2p. frequencies A newly developed radio frequency wireless IEEE SENSORS 2011, Limerick (Irelande), 28-31 passive highly sensitive strain transducer Octobre 2011, 2p. Design of a highly sensitive wireless passive RF strain transducer A dual-band high impedance surface mounted with a spiral antenna for GNSS applications A.TRAILLE, S.BOUAZIZ, A wireless passive RCS-based temperature S.PINON, P.PONS, H.AUBERT, sensor using liquid metal and microfluidics A.BOUKABACHE, E.TENTZERIS technologies M.KYRO, D.TITZ, V.KOLMONEN, 5x1 linear antenna array for 60 GHz beam S.RANVIER, P.PONS, C.LUXEY, steering applications P.VAINIKAINEN P.PONS, H.AUBERT, P.MENINI, Wireless Passive Autonomous Sensors with E.TENTZERIS Electromagnetic Transduction U.ZAGHLOUL HEIBA, B.BHUSHAN, P.PONS, G.J.PAPAIOANNOU, F.COCCETTI, R.PLANA L.CAMPAGNOLO, M.NIKOLIC, J.PERCHOUX European Symposium Reliability of Electron Devices Failure Physics and Analysis (ESREF 2011), Bordeaux (France), 3-7 Octobre 2011, 7p. International Microwave Symposium (IMS 2011), Baltimore (USA), 5-10 Juin 2011, 4p. IEEE-APS Topical Conference on Antennas and Propagation in Wireless Communications ( APWC ) 2011 du 12 septembre au 16 septembre 2011, Turin (Italie), Septembre 2011, pp.994-997 European Microwave Week (EuMW 2011), Manchester (UK), 9-14 Octobre 2011, 4p. European Conference on Antennas and Propagation (EUCAP 2011), Rome (Italie), 11-15 Avril 2011, 4p. International Conference on Microwave and High Frequency Heating (AMPERE 2011), Toulouse (France), 5-8 Septembre 2011, 6p. Nanoscale characterization of different stiction European Microwave Week 2011 (EuMC 2011), mechanisms in electrostatic RF-MEMS switches Manchester (UK), 9-14 Octobre 2011, pp.478-481 Vélocimétrie par réinjection optique appliquée à Colloque International Francophone du club la mesure d'écoulements microfluidiques CMOI/SFO "Contrôles et Mesures Optiques pour l'Industrie" , Lille (France), 21-25 Novembre 2011, 6p. Amélioration d'un capteur optoélectronique Colloque International Francophone du club embarqué par interférométrie à rétro-injection CMOI/SFO "Contrôles et Mesures Optiques pour optique l'Industrie", Lille (France), 21-25 Novembre 2011, 6p. Influence of ambient temperature on the performance of VCSEL based self-mixing sensors: flow measurements A novel FBG interrogation method for potential structural health monitoring applications Scientific Production — 117 IEEE SENSORS 2011, Limeryck (Irelande), 28-31 Octobre 2011, 4p. IEEE SENSORS 2011, Limerick (Irelande), 28-31 Octobre 2011, pp.1341-1344 MAI11812 MAI11813 M.NIKOLIC, Y.L.LIM, S.WILSON, A.D.RAKIC, L.CAMPAGNOLO, J.PERCHOUX, T.BOSCH U.ZABIT, O.BERNAL, T.BOSCH MAI11814 U.ZABIT, T.BOSCH MAI11815 MAI11816 MAI11818 MAI11819 MAI11857 MAI11858 MAI12033 M.ERCOLI, D.DRAGOMIRESCU, R.PLANA MAI12096 M.ERCOLI, D.DRAGOMIRESCU, D.BELOT, R.PLANA MAI12115 M.ALEXANDRU, T.MONTEIL, P.LORENZ, F.COCCETTI, H.AUBERT H.CAMON, A.BOUNOUH, F.BLARD, D.BELIERES A.MONMAYRANT, O.GAUTHIERLAFAYE, J.CAMPOS, S.BONNEFONT, K.BAHKTA, C.VANNESTE, P.SEBBAH, F.LOZES-DUPUY A.MONMAYRANT, O.GAUTHIERLAFAYE, J.CAMPOS, S.BONNEFONT, K.BAHKTA, C.VANNESTE, N.BACHELARD, P.SEBBAH, F.LOZES-DUPUY H.MAKHLOUFI, E.DARAN, C.FONTAINE MAI12206 MAI12207 MAI12235 MAI12278 MAI12285 MAI12299 MAI12319 MAI12321 MAI12341 IEEE SENSORS 2011, Limerick (Irelande), 28-31 Octobre 2011, 4p. Low size high efficiency local oscillator signal driver system for 60GHz applications in 65 nm CMOS technology An extremely low consumption, 53mW, 65nm CMOS transmitter for 60 GHz UWB applications German Microwave Conference 2011 (GeMiC 2012), Ilmenau (Allemagne), 12-14 Mars 2012, 4p. IEEE SENSORS 2011, Limerick (Irelande), 28-31 Octobre 2011, pp.1386-1389 IEEE SENSORS 2011, Limerick (Irelande), 28-31 Octobre 2011, pp.343-346 L.CAMPAGNOLO, J.CSONT, International Conference on Microtechnologies in M.NIKOLIC, J.PERCHOUX, Medicine and Biololy (MMB 2011), Lucerne (Suisse), T.BOSCH 4-6 Mai 2011, 2p. R.ATASHKHOOEI, S.ROYO, Analysis and control of speckle effects in selfIEEE SENSORS 2011, Limerick (Irelande), 28-31 F.AZCONA, U.ZABIT mixing interferometry Octobre 2011, pp.1390-1393 R.ATASHKHOOEI, U.ZABIT, Adaptative optical head for industrial vibrometry SPIE OPTICAL METROLOGY, Munich (Allemagne), S.ROYO, T.BOSCH, F.BONY applications 23-25 Mai 2011 DD.PHAM, RP.SINGH, DL.YAN, Position Sensing and Electrostatic Actuation Defense Science Research Conference and Expo KT.TIEW, O.BERNAL, T.LANGER, Circuits for 2-D Scanning MEMS Micromirror (DSR), Singapour (Singapour), 3-5 Août 2011 A.HIRSHBERG, M.JE D.BOURRIER, M.DILHAN, BPN a new thick negative photoresist with high Conference on High Aspect Ratio Micro Structure A.GHANNAM, L.OURAK, aspect ratio for MEMS applications Technology (HARMST 2012), Hsinchu (Japon), 13H.GRANIER 17 Juin 2011, 7p. H.LIU, D.LERAY, P.PONS, Validation of finite element structural simulation Eurosensors XXV, Athènes (Grèce), 4-7 Septembre S.COLIN, A.BROUE, for ohmic microcontact 2011, 4p. J.MARTEGOUTTE, C.DIEPPEDALE M.ERCOLI, D.DRAGOMIRESCU, An extremely miniaturized ultra wide band 10-67 International Microwave Symposium (IMS 2012), R.PLANA GHz power splitter in 65 nm CMOS technology Montréal (Canada), 17-22 Juin 2012, 3p. MAI12056 MAI12203 Flow profile measurement in micro-channels using changes in laser junction voltage due to self-mixing effect A self-mixing displacement sensor compensating parasitic vibration with a MEMs accelerometer Envelope extraction technique for a self-mixing centimetric displacement laser sensor Measurement of flows in micro-channels through self-mixing effect in a laser diode Efficient large electromagnetic problem solving by hybrid TLM and modal approach on grid computing MEMS based AC voltage reference : towards metrological applications Random laser in totally disordered 2D GaAs/AlGaAs heterostructures Random laser in totally disordered 2D GaAs/AlGaAs heterostructures Development of UV-nanoimprint lithography for GaAs nanostructures using soft mold IEEE Radio Frequency Integrated Circuits Symposium (RFIC 2012), Montréal (Canada), 17-22 Juin 2012, pp.463-466 International Microwave Symposium (IMS 2012), Montréal (Canada), 17-22 Juin 2012, 4p. MicroTech 2012, Santa Clara (USA), 18-21 Juin 2012, pp.145-148 International Conference on Metamaterials Photonic Crystals and Plasmonics (META 2012), Paris (France), 19-22 Avril 2012, 2p. SPIE Photonics Europe, Bruxelles (Belgique), 18-19 Avril 2012, 2p. Epitaxy and Structural Analysis of III-V-NSemiconductor Nanostructures, Heraklion (Grèce), 29 Avril - 2 Mai 2012, 2p. S.SOULIMANE, W.P.SHIH, Ceramic siloxane composite as a future Conference on Smart Materials, Adaptive Structures M.VEDRENNE, H.CAMON elastomer dielectric for micro-actuator & Intelligent Systems (SMASIS 2012), Stone realization Mountain (USA), 19-21 Septembre 2012, 5p. U.ZABIT, O.BERNAL, T.BOSCH Self-mixing sensor for real-time measurement of IEEE International Instrumentation and harmonic and arbitrary displacements Measurement Technology Conference (I2MTC 2012), Graz (Autriche), 13-16 Mai 2012, 5p. H.TAP, B.CAUSSAT, H.VERGNES, Graphene in silicon photovoltaic cells International Conference on Micro and Nano P.TRINSOUTROT, V.CONEDERA, Engineering (MNE 2012), Toulouse (France), 16-20 F.GUERIN, F.GESSINN, Septembre 2012, 3p. J.GRISOLIA, J.LAUNAY, P.ARGUEL F.CHOUCHANE, G.ALMUNEAU, Towards free-engineered areas of buried Site Controlled Epitaxy Workshop, Heraklion O.GAUTHIER-LAFAYE, dielectric AlOx in III-V structures (Grèce), 30 Avril 2012, 1p. (Résumé) A.ARNOULT, G.LACOSTE, C.FONTAINE F.CHOUCHANE, G.ALMUNEAU, Three dimensional confinement inIII-V photonic E-MRS Spring Meeting 2012, Strasbourg (France), O.GAUTHIER-LAFAYE, devices with combined oxidation and epitaxial 14-18 Mai 2012, 1p. (Résumé) A.ARNOULT, P.BOONPENG, regrowth G.LACOSTE, C.FONTAINE K.SALEH, P.H.MERRER, Investigations on noise processes in optical European Microwave Integrated Circuits Conference O.LLOPIS, G.CIBIEL resonator based microwave oscillators (EuMIC) 2012 du 28 octobre au 02 novembre 2012, Amsterdam (Pays Bas), 2012, pp.595-598 Scientific Production — 118 MAI12342 K.SALEH, P.H.MERRER, O.LLOPIS, G.CIBIEL MAI12346 S.AZAIZIA, K.SALEH, O.LLOPIS, A.RISSONS MAI12379 A.LUNA ARRIAGA, F.BONY, T.BOSCH MAI12380 B.TANIOS, F.BONY, T.BOSCH MAI12382 Y.LAAROUSSI, O.GAUTHIERLAFAYE, L.BOUSCAYROL, C.FONTAINE, L.CERUTTI, T.TALIERCIO, C.CHEVALLIER, F.GENTIL, N.FRESSENGEAS, G.ALMUNEAU B.LAMBERT, N.LABAT, D.CARISETTI, S.KARBOYAN, J.G.TARTARIN, J.THORPE, L.BRUNEL, A.CURUTCHET, N.MALBERT, E.ROMAIN-LATU, M.MERMOUX H.LIU, D.LERAY, P.PONS, S.COLIN, A.BROUE MAI12396 MAI12397 MAI12411 MAI12431 MAI12436 MAI12440 MAI12471 MAI12478 MAI12485 MAI12493 MAI12505 MAI12542 MAI12544 MAI12574 Millimeter wave generation using brillouin scattering in a High Q fiber ring resonator International Topical Meeting on Microwave Photonics (MWP 2012), Noordwijk (Pays Bas), 1114 Septembre 2012, 4p. Evaluation of low cost solutions for the IEEE International Frequency Control Symposium transmission through optical fiber of low phase (IFCS 2012), Baltimore (USA), 21-24 Mai 2012, noise OCXO signals pp.100-104 Real time displacement sensor based on selfIEEE International Instrumentation and mixing interferometry Measurement Technology Conference (I2MTC 2012), Graz (Autriche), 13-16 Mai 2012, pp.13701374 Optimization of the performances of a selfIEEE International Instrumentation and mixing velocimeter by using a double laser Measurement Technology Conference (I2MTC diode configuration 2012), Graz (Autriche), 13-16 Mai 2012, pp.19441948 AlOx/GaAs high contrast grating mirrors for mid International Conference on Transparent Optical infrared VCSELs Networks (ICTON 2012), Coventry (UK), 2-5 Juillet 2012, 3p. Evidence of relationship between mechanical stress and leakage current in AlGaN/GaN transistor after storage test Finite element based surface roughness study for ohmic contact of microswitches European Symposium on the Reliability of Electron Devices, Failure Physics and Analysis ( ESREF ) 2012 du 01 octobre au 05 octobre 2012, Cagliari (Italie), 2012, 5p. IEEE Holm Conference on Electrical Contacts 2012 du 23 septembre au 26 septembre 2012, Portland (USA), 2012, pp.220-229 K.SALEH, P.H.MERRER, Optoelectronic oscillator based on fiber ring IEEE International Frequency Control Symposium O.LLOPIS, G.CIBIEL resonator: overall system optimization and (IFCS 2012), Baltimore (USA), 21-24 Mai 2012, phase noise reduction pp.542-547 H.MAKHLOUFI, E.DARAN, Development of soft UV-nanoimprint lithography International Conference on Micro and Nano C.FONTAINE for GaAs nanotructures Engineering (MNE 2012), Toulouse (France), 16-20 Septembre 2012, 1p. B.TANIOS, F.BONY, T.BOSCH Error analysis due to laser beams misalignment International Conference on Vibration of a double laser self-mixing velocimeter Measurements by Laser and Noncontact Techniques (AIVELA 2012), Ancona (Italie), 27-29 Juin 2012, pp.139-147 A.GHANNAM, L.OURAK, Low cost 3D multilevel interconnect integration Electronic Components and Technology Conference D.BOURRIER, C.VIALLON, for RF and microwave applications (ECTC 2012), San Diego (USA), 29 Mai - 1 Juin T.PARRA 2012, 5p. M.ALEXANDRU, T.MONTEIL, Large scale electromagnetic problem on large International Conference on High Performance F.COCCETTI, H.AUBERT, scale parallel computing systems Computing and Simulation (HPCS 2012), Madrid P.LORENZ (Espagne), 2-6 Juillet 2012, 7p. D.BARAT, V.BARDINAL, I.DIKA, Microlens self-writing on vertical laser diodes by International Conference on Micro and Nano O.SOPPERA, A.RUMYANTSEVA, near Infra-red photo-polymerization Engineering (MNE 2012), Toulouse (France), 16-20 B.REIG, M.RENAULT, Septembre 2012, 1p. A.BRUYANT, T.CAMPS, J.B.DOUCET, J-P.MALVAL, E.DARAN A.LUNA ARRIAGA, F.BONY, Digital to analog conversion methodology International Conference on Vibration T.BOSCH applied to self-mixing displacement signals Measurements by Laser and Noncontact Techniques (AIVELA 2012), Ancona (Italie), 27-29 Juin 2012, pp.125-131 U.ZABIT, O.BERNAL, T.BOSCH Robust real-time self-mixing interferometric laser International Conference on Vibration vibration sensor with embedded MEMS Measurements by Laser and Noncontact Techniques accelerometer (AIVELA 2012), Ancona (Italie), 27-29 Juin 2012, pp.148-155 M.ALEXANDRU, T.MONTEIL, Electromagnetic modeling of complex structures European Microwave Conference ( EuMC ) 2012 du P.LORENZ, F.COCCETTI, by TLM/modal hybrid approach with efficient 28 octobre au 02 novembre 2012, Amsterdam (Pays H.AUBERT parallel computing Bas), 2012, pp.249-252 F.BOUYJOU, O.BERNAL, H.TAP, Qualifying a CMOS instrumentation chain for International Workshop on Analogue and Mixed G.PICAUT, J.A.SAUVAUD charged particles detection in the space Signal Integrated Circuits For Space Applications environment (AMICSA 2012), Noordwijk (Pays Bas), 27-29 Août 2012, 7p. X.BUET, A.GUELMAMI, Wavelength-stabilised laser diode using a cavity European Semiconductor Laser Workshop (ESLW A.MONMAYRANT, S.CALVEZ, resonator integrated guided mode filter 2012), Bruxelles (Belgique), 21-22 Septembre 2012, C.TOURTE, F.LOZES-DUPUY, 1p. O.GAUTHIER-LAFAYE N.TORRES, F.COCCETTI, DC-50GHz RF-MEMS SPDT switch for high International Symposium on RF-MEMS and RFB.REIG, C.DIEPPEDALE, reliability applications Microsystems ( MEMSWAVE ) 2012 du 02 juillet au H.SIBUET, C.BILLARD, 04 juillet 2012, Antalya (Turquie), Antalya (Turquie), F.DEBORGIES, J.L.CAZAUX 3p. Scientific Production — 119 MAI12575 N.TORRES, F.COCCETTI, M.KAYNAK, W.ZHANG, B.TILLACK, J.L.CAZAUX MAI12576 B.REIG, F.SOUCHON, N.TORRES, F.COCCETTI, M.KOUTSOURELI, G.J.PAPAIOANNOU, C.DIEPPEDALE, H.SIBUET, O.VENDIER, B.ESPANA, F.DEBORGIES N.TORRES, M.KAYNAK, F.COCCETTI, M.WIETSTRUCK, B.TILLACK, J.L.CAZAUX MAI12577 MAI12584 MAI12613 MAI12707 N.TORRES, F.COCCETTI, M.KAYNAK, W.ZHANG, B.TILLACK, R.PLANA, J.L.CAZAUX A.TAKACS, H.AUBERT, M.BAFLEUR, J.M.DILHAC, F.COURTADE, S.FREDON, L.DESPOISSE, C.VANHECKE, G.CLUZET S.KARBOYAN, J.G.TARTARIN, D.CARISETTI, B.LAMBERT An accurate equivalent circuit model for RFMEMS circuit optimization and fabrication process monitoring in BiCMOS technology International Symposium on RF-MEMS and RFMicrosystems ( MEMSWAVE ) 2012 du 02 juillet au 04 juillet 2012, Antalya (Turquie), Antalya (Turquie), 4p. High reliability RF MEMS switch fabricated with Microwave Technology and Techniques Workshop a dielectric-less technology for DC-50GHz 2012 du 21 mai au 23 mai 2012, Nordwijk (Pays applications Bas), Noordwijk (Pays Bas), 8p. Estimation of RF performance from LF European Symposium on the Reliability of Electron measurements: towards the design for reliability Devices, Failure Physics and Analysis ( ESREF ) in RF-MEMS 2012 du 01 octobre au 05 octobre 2012, Cagliari (Italie), Cagliari (Italie), 2012, 5p. An accurate and versatile equivalent circuit European Microwave Integrated Circuits Conference model for RF-MEMS circuit optimization in (EuMIC) 2012 du 28 octobre au 02 novembre BiCMOS technology 2012, Amsterdam (Pays Bas), 2012, pp.143-146 Energy harvesting for powering wireless sensor Workshop on energy and Wireless Sensors ( e-WiSe networks on-board geostationary broadcasting ) 2012 du 20 novembre au 23 novembre 2012, satellites Besançon (France), Novembre 2012, 4p. Analysis and path localization of gate current in AlGaN/GaN HEMTs using low frequency noise measurements and optical beam induced resistance change technique Gate defects in AlGaN/GaN HEMTs revealed by low frequency noise and dynamic EMMI measurements Gate and drain low frequency noise of AlGaN/GaN HEMTs featuring high and low gate leakage currents Generation-recombination traps in AlGaN/GaN HEMT analyzed by time-domain and frequencydomain measurements: impact of HTRB stress on short term and long term memory effects Graphene nanoribbon ballistic devices for RF detection IEEE International Microwave Symposium ( IMS ) 2013 du 02 juin au 07 juin 2013, Seattle (USA), Juin 2013, 3p. IEEE International Symposium Antennas and Propagation/CNC-USNC/URSI Radio Science Meeting 2012 du 08 juillet au 14 juillet 2012, Chicago (USA), Juillet 2012, 2p. Asia Pacific Conference on Antennas and Propagation ( APCAP ) 2012 du 27 août au 29 août 2012, Singapour (Singapour), Août 2012, 2p. Epitaxy and Structural Analysis of III-V-NSemiconductor Nanostructures 2012 du 29 avril au 02 mai 2012, Heraklion (Grèce), Avril 2012, 40p. Asia Pacific Microwave Conference ( APMC ) 2012 du 04 décembre au 07 décembre 2012, Kaohsiung (Taiwan), Décembre 2012, 3p. Asia Pacific Microwave Conference ( APMC ) 2012 du 04 décembre au 07 décembre 2012, Kaohsiung (Taiwan), Décembre 2012, 3p. MAI12708 J.G.TARTARIN, S.KARBOYAN, D.CARISETTI, B.LAMBERT MAI12709 S.KARBOYAN, J.G.TARTARIN, N.LABAT, B.LAMBERT MAI12711 J.G.TARTARIN, G.ASTRE, S.KARBOYAN, T.NOUTSA DJOKO, B.LAMBERT MAI12717 G.DELIGEORGIS, F.COCCETTI, G.KONSTANTINIDIS, R.PLANA MAI12761 A.C.BUNEA, D.NECULOIU, P.F.CALMON, A.TAKACS MAI12762 A.TAKACS, T.IDDA, H.AUBERT, H.DIEZ MAI12763 A.TAKACS, T.IDDA, H.AUBERT, H.DIEZ MAI12764 A.TAKACS, A.C.BUNEA, A.ZAIR, D.NECULOIU, H.AUBERT MAI12765 A.C.BUNEA, D.NECULOIU, A.AVRAM, T.KIURU, T.VAHAHEIKKILA, A.TAKACS, P.F.CALMON A.TAKACS, T.IDDA, H.AUBERT, H.DIEZ Miniaturization technique for quadrifilax helix antenna MAI12767 D.NECULOIU, A.C.BUNEA, P.F.CALMON, A.TAKACS 140 GHz wide-band micromachined double folded slot antenna MAI12783 C.FONTAINE Surfaces and surface preparation for epitaxial growth MAI12784 M.CAMUS, B.BUTAYE, A CMOS low loss / high linearity passive mixer C.VIALLON, L.GARCIA, T.PARRA for 2.45 GHz low power applications MAI12785 L.OURAK, A.GHANNAM, D.BOURRIER, C.VIALLON, T.PARRA MAI12766 International Conference on Noise Fluctuations ( ICNF ) 2013 du 24 juin au 28 juin 2013, Montpellier (France), Juin 2013, 1p. International Conference on Noise Fluctuations ( ICNF ) 2013 du 24 juin au 28 juin 2013, Montpellier (France), Juin 2013, 1p. International Wireless Symposium ( IWS ) 2013 du 14 avril au 18 avril 2013, Beijing (Chine), Avril 2013, 4p. A European Conference/Workshop on the Synthesis, Characterization and Applications of Graphene ( GrapHEL ) 2012 du 27 septembre au 30 septembre 2012, Mykonos (Grèce), 2012, 4p. Micromachined front-end for 60 GHz International Semiconductor Conference ( CAS ) applications 2012 du 14 octobre au 17 octobre 2012, Sinaia (Roumanie), Janvier 2013, pp.197-200 Miniaturization of quadrifilar helix antennas for International Symposium of ANtenna Technology space applications and applied ElectroMagnetics. Toulouse Space Show ( ANTEM ) 2012 du 25 juin au 28 juin 2012, Toulouse (France), Juin 2012, 3p. Compact VHF quadrifilar helix antenna European Microwave Conference ( EuMC ) 2012 du 28 octobre au 02 novembre 2012, Amsterdam (Pays Bas), Octobre 2012, 4p. Diode modeling for milimeter wave applications International Semiconductor Conference ( CAS ) based on co-simulation technique 2012 du 14 octobre au 17 octobre 2012, Sinaia (Roumanie), Octobre 2012, 4p. 160 GHz silicon micromachined folded slot Asia Pacific Microwave Conference ( APMC ) 2012 antenna array du 04 décembre au 07 décembre 2012, Kaohsiung (Taiwan), Décembre 2012, 3p. Solenoidal transformers for magnetic materials integration Scientific Production — 120 MAI12810 MAI12811 MAI12813 MAI12814 MAI12838 MAI12872 H.AUBERT, F.CHEBILA, M. M.JATLAOUI, T.T.THAI, H.HALLIL, A.TRAILLE, S.BOUAZIZ, A.RIFAI, P.PONS, P.MENINI, E.TENTZERIS P.PONS, H.AUBERT, P.MENINI, E.TENTZERIS Wireless sensing and identification of passive electromagnetic sensors based on millimetrewave FMCW RADAR IEEE RFID Technology & Applications ( IEEE RFIDTA ) 2012 du 05 novembre au 07 novembre 2012, Nice (France), Novembre 2012, 5p. Electromagnetic transduction for wireless passive sensors Eurosensors 2012 du 09 septembre au 12 septembre 2012, Krakow (Pologne), Septembre 2012, 10p. IEEE SENSORS 2012 du 28 octobre au 31 octobre 2012, Taipei (Taiwan), Octobre 2012, 4p. U.ZABIT, O.BERNAL, A.CHAMORRO, T.BOSCH Real-time accelerometer coupled self-mixing laser displacement sensor for embedded applications U.ZABIT, O.BERNAL, T.BOSCH Time-frequency signal processing for a selfmixing laser sensor for vibration measurement S.BOUAZIZ, F.CHEBILA, A New millimeter-wave micro-fluidic A.TRAILLE, P.PONS, H.AUBERT, Temperature sensor for wireless passive radar E.TENTZERIS interrogation G.TEYSSEDRE, C.VILLENEUVE- Challenges in probing space charge at subFAURE, P.PONS, L.BOUDOU , micrometer scale K.MAKASHEVA, B.DESPAX MAI13129 Y.QIU, W.LU, S.CALVEZ Quantum confinement stark effect of different GaInNAs quantum well structures MAI13146 A.KARA OMAR, D.DRAGOMIRESCU, A.COUSTOU, R.PLANA UWB down-conversion mixer in CMOS 130nm technology for wireless sensor network applied to aerospace application MAI13155 F.PERGET, D.DRAGOMIRESCU Energy efficient M2M communications for aerospace monitoring applications MAI13193 L.ESCOTTE, E.GONNEAU MAI13208 F.CHOUCHANE, H.MAKHLOUFI, S.CALVEZ, A.ARNOULT, O.GAUTHIER-LAFAYE, C.FONTAINE, G.ALMUNEAU C.FONTAINE, S.MAZZUCATO, P.BOONPENG, H.MAKHLOUFI, A.ARNOULT, T.T.ZHANG, H.CARRERE, A.PONCHET, D.LAGARDE, G.LACOSTE, A.BALOCCHI, M.HOPKINSON, T.AMAND, X.MARIE R.DE PAOLIS, T.LE, F.COCCETTI, G.MONTI, L.TARRICONE, E.TENTZERIS, R.PLANA MAI13209 MAI13235 MAI13246 MAI13276 MAI13279 MAI13296 MAI13297 MAI13298 L.CERUTTI, Y.LAAROUSSI, D.SANCHEZ, C.LEVALLOIS, C.PARANTHOEN, G.ALMUNEAU R.DE PAOLIS, F.COCCETTI, S.PAYAN, A.ROUSSEAU, M.MAGLIONE, G.GUEGAN IEEE SENSORS 2012 du 28 octobre au 31 octobre 2012, Taipei (Taiwan), Octobre 2012, 4p. IEEE SENSORS 2012 du 28 octobre au 31 octobre 2012, Taipei (Taiwan), Octobre 2012, pp.319-322 IEEE Conference on Electrical Insulation and Dielectric Phenomena ( CEIDP ) 2012 du 14 octobre au 17 octobre 2012, Montreal (Canada), Octobre 2012, 5p. International Conference on Materials for Renewable Energy & Environment ( MREE ) 2013 du 15 mai au 16 mai 2013, Nanjing (Chine), Mai 2013, 6p. European Microwave Integrated Circuits Conference - European Microwave Week ( EuMIC - EuMW ) 2013 du 06 octobre au 11 octobre 2013, Nuremberg (Allemagne), Octobre 2013, pp.192-195 IEEE International Conference on Communications ( ICC ) 2013 du 09 juin au 13 juin 2013, Budapest (Hongrie), Juin 2013, pp.484-488 Generation-recombination noise Allan variance International Conference on Noise Fluctuations ( and low-frequency gain instabilities in ICNF ) 2013 du 24 juin au 28 juin 2013, Montpellier microwave amplifiers (France), Juin 2013, 4p. A planar Alox process involving a MBE regrowth European Molecular Beam Epitaxy Workshop ( Eurofor optical and electrical free-shape engineering MBE ) 2013 du 10 mars au 13 mars 2013, Levi (Finlande), Mars 2013, 2p. MBE growth of GaAsBi layers and quantum European Molecular Beam Epitaxy Workshop ( Eurowells – effect of rapid thermal annealing on their MBE ) 2013 du 10 mars au 13 mars 2013, Levi structural and electronic properties (Finlande), Mars 2013, 1p. A novel circuit model of nanotechnology-enabled IEEE International Microwave Symposium ( IMS ) inkjet-printed gas sensors using multi-wall 2013 du 02 juin au 07 juin 2013, Seattle (USA), Juin carbon nanotubes 2013, 4p. GaSb VCSEL with III-As matamorphic confinement layer grown by molecular beam epitaxy Microwave characterization of ferroelectric thin films for novel compact tunable BST filters European Molecular Beam Epitaxy Workshop ( EuroMBE ) 2013 du 10 mars au 13 mars 2013, Levi (Finlande), Mars 2013, pp.240-241 European Microwave Conference - European Microwave Week ( EuMC - EuMW ) 2013 du 06 octobre au 10 octobre 2013, Nuremberg (Allemagne), Octobre 2013, pp.460-463 D.DRAGOMIRESCU, F.PERGET, Energy efficient wireless sensor network International Workshop of Structural Health F.CAMPS, R.PLANA, A.DE LUCA, architecture for aircraft structure health Monitoring ( IWSHM ) 2013 du 10 septembre au 12 F.UDREA monitoring: from sensor to data collect septembre 2013, Stanford (USA), Septembre 2013, pp.1403-1410 A.L.FEHREMBACH, K.CHAN 1D crossed guided mode resonant gratings for International Workshop on Optical Wave & SHIN YU, A.MONMAYRANT, tunable filtering Waveguide Theory and Numerical Modelling ( O.GAUTHIER-LAFAYE, OWTNM ) 2013 du 19 avril au 20 avril 2013, P.ARGUEL, A.SENTENAC Enschede (Pays Bas), Avril 2013, 1p. X.BUET, A.MONMAYRANT, Design and performances of simplified external The European Conference on Lasers and ElectroS.CALVEZ, C.TOURTE, F.LOZES- cavity laser diodes using CRIGF mirrors Optics and the International Quantum Electronics DUPUY, O.GAUTHIER-LAFAYE Conference ( CLEO/Europe-IQEC ) 2013 du 12 mai au 16 mai 2013, Munich (Allemagne), Mai 2013, 1p. A.MONMAYRANT, F.LOZESMultifunctionnal self-collimating mesoscopic DUPUY, O.GAUTHIER-LAFAYE, photonic crystals G.MAGNO, M.GRANDE, G.CALO, V.PETRUZZELLI Scientific Production — 121 The European Conference on Lasers and ElectroOptics and the International Quantum Electronics Conference ( CLEO/Europe-IQEC ) 2013 du 12 mai au 16 mai 2013, Munich (Allemagne), Mai 2013, 1p. MAI13317 O.LLOPIS, S.AZAIZIA, K.SALEH, A.ALI SLIMANE, A.FERNANDEZ MAI13347 S.NSELE, L.ESCOTTE, J.G.TARTARIN, S.PIOTROWICZ MAI13355 C.LECLERC, M.ROMIER, A.ANNABI, H.AUBERT MAI13417 A.TAKACS, H.AUBERT, S.FREDON, L.DESPOISSE MAI13418 P.BOONPENG, H.MAKHLOUFI, G.LACOSTE, A.ARNOULT, C.FONTAINE MAI13446 A.TAKACS, H.AUBERT, L.DESPOISSE, S.FREDON MAI13447 A.TAKACS, H.AUBERT, L.DESPOISSE, S.FREDON MAI13498 S.KARBOYAN, J.G.TARTARIN, B.LAMBERT MAI13499 S.KARBOYAN, J.G.TARTARIN, M.RZIN, L.BRUNEL, A.CURUTCHET, N.MALBERT, N.LABAT, D.CARISETTI, B.LAMBERT, M.MERMOUX, E.ROMAIN-LATU, F.THOMAS, C.BOUEXIERE, C.MOREAU J.MAXIN, K.SALEH, G.PILLET, L.MORVAN, O.LLOPIS, D.DOLFI MAI13502 MAI13505 MAI13508 MAI13516 MAI13517 MAI13532 MAI13564 MAI13583 MAI13597 M.OLSZACKI, M.MATUSIAK, I.AUGUSTYNIAK, P.KNAPKIEWICZ, J.DZIUBAN, P.PONS, E.DEBOURG A.MAGNANI, C.VIALLON, I.BURCIU, T.EPERT, M.BORGARINO, T.PARRA Photodiode 1/f noise and other types of less known baseband noises in optical telecommunications devices Noise characteristics of AlInN/GaN HEMTs at microwave frequencies International Conference on Noise Fluctuations ( ICNF ) 2013 du 24 juin au 28 juin 2013, Montpellier (France), Juin 2013, 4p. International Conference on Noise Fluctuations ( ICNF ) 2013 du 24 juin au 28 juin 2013, Montpellier (France), Juin 2013, 4p. Ka-band multiple feed per beam antenna IEEE-APS Topical Conference on Antennas and architecture based on interleaved 3-D directional Propagation in Wireless Communications ( APWC ) couplers 2013 du 09 septembre au 13 septembre 2013, Turin (Italie), Septembre 2013, 3p. K-band energy harvesting circuits for satellite European Microwave Conference - European application Microwave Week ( EuMC - EuMW ) 2013 du 06 octobre au 10 octobre 2013, Nuremberg (Allemagne), Octobre 2013, pp.991-994 Bi-assisted nucleation of GaAs grown on silicon Novel Gain Materials and Devices Based on III-Vby molecular beam epitaxy N/Bi Compounds ( COST MP0805 ) 2013 du 24 septembre au 26 septembre 2013, Istanbul (Turquie), Septembre 2013, 1p. Design and implementation of a rectenna for IEEE Wireless Power Transfer Conference ( WPTC ) satellite application 2013 du 15 mai au 16 mai 2013, Perugia (Italie), Mai 2013, pp.183-186 K-band energy harvesting for satellite IEEE International Microwave Symposium ( IMS ) application 2013 du 02 juin au 07 juin 2013, Seattle (USA), Juin 2013, 4p. Analysis of barrier inhomogeneities in European Microwave Integrated Circuits Conference AlGaN/GaN HEMTs’ Schottky diodes by I-V-T - European Microwave Week ( EuMIC - EuMW ) measurements 2013 du 06 octobre au 11 octobre 2013, Nuremberg (Allemagne), Octobre 2013, 4p. Influence of gate leakage current on AlGaN/GaN European Symposium on the Reliability of Electron HEMTs evidenced by low frequency noise and Devices, Failure Physics and Analysis ( ESREF ) pulsed electrical measurements 2013 du 30 septembre au 04 octobre 2013, Arcachon (France), Septembre 2013, 6p. Widely tunable opto-electronic oscillator based on a dual-frequency laser SPIE Photonics West 2013 du 02 février au 07 février 2013, San Francisco (USA), Février 2013, 8p. Measurement of the high gamma radiation dose Micromechanics Europe Workshop ( MME ) 2013 using the MEMS based dosimeter and radiolisys du 01 septembre au 04 septembre 2013, Espoo effect (Finlande), Septembre 2013, pp.31-35 A K-band BiCMOS low duty-cycle resistive mixer IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF) 2014 du 20 janvier au 22 janvier 2014, Newport Beach (USA), Janvier 2014, pp.95-97 E.DEBOURG, A.RIFAI, Wireless chipless passive microfluidic International Conference on Solid-State Sensors, S.BOUAZIZ, A.TRAILLE, P.PONS, temperature sensor Actuators and Microsystems ( TRANSDUCERS ) H.AUBERT, E.TENTZERIS 2013 du 16 juin au 20 juin 2013, Barcelone (Espagne), Juin 2013, 4p. H.LIU, D.LERAY, P.PONS, An asperity-based finite element model for IEEE HOLM Conference on Electrical Contacts ( S.COLIN electrical contact of microswitches HOLM ) 2013 du 22 septembre au 25 septembre 2013, nEWPORT (usa), Septembre 2013, 10p. I.AUGUSTYNIAK, J.DZIUBAN, MEMS high-doses radiation sensor International Conference on Solid-State Sensors, P.KNAPKIEWICZ, M.MATUSIAK, Actuators and Microsystems ( TRANSDUCERS ) M.OLSZACKI, P.PONS 2013 du 16 juin au 20 juin 2013, Barcelone (Espagne), Juin 2013, 4p. K.SALEH, A.FERNANDEZ , Fiber ring resonators with Q factors in excess of IEEE Joint UFFC, EFTF and PFM Symposium ( O.LLOPIS, G.CIBIEL 10^10 for time and frequency applications UFFC-EFTF-PFM ) 2013 du 21 juillet au 25 juillet 2013, Prague (République Tchèque), Juillet 2013, pp.33-36 S.MAZZUCATO, H.LEHEC, Optical and spin properties of GaAsBi epilayers Novel Gain Materials and Devices Based on III-VH.CARRERE, T.T.ZHANG, N/Bi Compounds ( COST MP0805 ) 2013 du 24 D.LAGARDE, P.BOONPENG, septembre au 26 septembre 2013, Istanbul A.ARNOULT, G.LACOSTE, (Turquie), Septembre 2013, 1p. (Résumé) A.BALOCCHI, T.AMAND, C.FONTAINE, X.MARIE H.MAKHLOUFI, P.BOONPENG, Structural and optical properties of GaAs1-xBix Novel Gain Materials and Devices Based on III-VS.MAZZUCATO, H.CARRERE, quantum wells grown by molecular beam N/Bi Compounds ( COST MP0805 ) 2013 du 24 J.NICOLAI, G.LACOSTE epitaxy. Effect of rapid thermal annealing septembre au 26 septembre 2013, Istanbul (Turquie), Septembre 2013, 1p. Scientific Production — 122 MAI13600 MAI13647 MAI13657 MAI13703 MAI13741 MAI13760 MAI13777 MAI13783 MAI13811 MAI13815 MAI13820 MAI14081 MAI14099 MAI14216 MAI14233 MAI14234 MAI14236 MAI14331 S.MAZZUCATO, T.T.ZHANG, H.CARRERE, P.BOONPENG, A.ARNOULT, D.LAGARDE, G.LACOSTE, A.BALOCCHI, M.HOPKINSON, T.AMAND, X.MARIE, C.FONTAINE A.LUNA ARRIAGA, T.BOSCH, F.BONY Electron spin dynamics in GaAsBi Progress on self-mixing sensors for in-situ displacement measurement European Molecular Beam Epitaxy Workshop ( EuroMBE ) 2013 du 10 mars au 13 mars 2013, Levi (Finlande), Mars 2013, 1p. International Workshop IEEE Electronics, Control, Measurement, Signals and their application to Mechatronics ( ECMSM ) 2013 du 24 juin au 26 juin 2013, Toulouse (France), Juin 2013, 5p. U.ZABIT, O.BERNAL, T.BOSCH Real time self-mixing interferometric laser International Conference on Sensing for Industry, sensor for embedded applications Control, Communication & Security Technologies ( ICSICCST ) 2013 du 24 juin au 26 juin 2013, Karachi (Pakistan), Juin 2013, 8p. A.TRAILLE, A.COUSTOU, Monolithic Paper-Based & Inkjet-Printed European Microwave Conference - European H.AUBERT, E.TENTZERIS, S.KIM Technology for Conformal Stepped-FMCW GPR Microwave Week ( EuMC - EuMW ) 2013 du 06 Applications First Results octobre au 10 octobre 2013, Nuremberg (Allemagne), Octobre 2013, 4p. B.MULLIEZ, E.MOUTAYE, H.TAP, Predistortion system implementation based on International Conference on Telecommunications L.GATET, F.GIRARD analog Neural Networks for linearizing High and Signal Processing ( TSP ) 2013 du 02 juillet au Power Amplifiers transfer characteristics 04 juillet 2013, Rome (Italie), Juillet 2013, pp.412416 R.HENRIET, P.SALZENSTEIN, High quality factor optical resonators International School and Conference on Photonics ( D.RISTIC, A.COILLET, PHOTONICA ) 2013 du 26 août au 30 août 2013, M.MORTIER, G.CIBIEL, Belgrade (Serbie), Août 2013, 4p. A.RASOLONIAINA, K.SALEH, O.LLOPIS, M.FERRARI, P.FERON, Y.CHEMBO S.KIM, A.TRAILLE, H.LEE, Inkjet-printed sensors on paper substrate for European Microwave Conference - European H.AUBERT, K.YOSHIHIRO, agricultural applications Microwave Week ( EuMC - EuMW ) 2013 du 06 A.GEORGIADIS, A.COLLADO, octobre au 10 octobre 2013, Nuremberg E.TENTZERIS (Allemagne), Octobre 2013, pp.866-869 P.PONS, H.AUBERT, Wireless chipless passive electromagnetic International Workshop of Structural Health E.TENTZERIS transducers for SHM applications Monitoring ( IWSHM ) 2013 du 10 septembre au 12 septembre 2013, Stanford (USA), Septembre 2013, 8p. G.HERMAND, J.BERTRAND, Wireless interrogation of passive sensors in Conference on Smart Monitoring, Assessment and F.CHEBILA, A.RIFAI, F.KALIL, confined environment Rehabilitation of Civil Structures ( SMAR ) 2013 du H.AUBERT 09 septembre au 11 septembre 2013, Istanbul (Turquie), Septembre 2013, 8p. J.LOMINE, C.MORLAAS, Method for vector sensor design based on a European Conference on Antennas and Propagation H.AUBERT spherical mode approach for 3D DoA estimation ( EuCAP ) 2013 du 08 avril au 12 avril 2013, Gothenburg (Suède), Mars 2013, 5p. S.KIM, E.TENTZERIS, A.TRAILLE, A dual-band retrodirective reflector array on International Symposium on Antennas and H.AUBERT, A.GEORGIADIS paper utilizing substrate integrated waveguide Propagation ( IEEE-APS ) 2013 du 07 juillet au 13 (SIW) and inkjet printing technologies for juillet 2013, Orlando (USA), Juillet 2013, 2p. chipless RFID tag and sensor applications G.MAGNO, A.MONMAYRANT, Stable planar microcavities based on SPIE Photonics West 2014 du 01 février au 06 M.GRANDE, F.LOZES-DUPUY, mesoscopic photonic crystals février 2014, San Francisco (USA), Février 2014, O.GAUTHIER-LAFAYE, G.CALO, 10p. V.PETRUZZELLI C.RUIZ, M.ALEXANDRU, Platform calibration for load balancing of large IEEE/ACM International Symposium on Cluster, O.RICHARD, T.MONTEIL, simulations: TLM case Cloud and Grid Computing ( IEEE/ACM CCGrid ) H.AUBERT 2014 du 26 mai au 29 mai 2014, Chicago (USA), Mai 2014, 8p. G.ALMUNEAU, F.CHOUCHANE, Planar technological solutions for emmbedded European VCSEL Day 2014 du 22 mai au 23 mai S.CALVEZ, C.FONTAINE oxide-based confinement for new VCSELs 2014, Rennes (France), Juin 2014, 1p. architectures S.PIOTROWICZ, O.JARDEL, 12W/mm with 0.15um InAlN/GaN HEMTs on SiC IEEE International Microwave Symposium ( IMS ) E.CHARTIER, R.AUBRY, technology for K and Ka-bands applications 2014 du 01 juin au 06 juin 2014, Tampa Bay (USA), L.BACZKOWSKI, M.CASBON, Juin 2014, 3p. C.DUA, L.ESCOTTE, P.GAMARRA, J.C.JACQUET, N.MICHEL, S.NSELE, M.OUALLI, O.PATARD, C.POTIER, M.A.DI FORTE POISSON, S.L.DELAGE A.TAKACS, H.AUBERT, Compact rectenna for space application IEEE International Microwave Symposium ( IMS ) S.CHARLOT, S.FREDON, 2014 du 01 juin au 06 juin 2014, Tampa Bay (USA), L.DESPOISSE Juin 2014, 4p. G.VIGNEAU, M.CHEIKH MHAND, Power source evaluation of a wireless power IEEE Wireless Power Transfer Conference ( WPTC ) R.BENBOUHOUT, S.BOUGUERN, transfer system 2014 du 08 mai au 09 mai 2014, Jeju (Corée), Mai A.TAKACS 2014, 4p. V.AUROUX, A.FERNANDEZ , Microwave phase noise properties of optical European Frequency and Time Forum ( EFTF ) 2014 O.LLOPIS, P.H.MERRER, links involving small signal and gain saturated du 23 juin au 26 juin 2014, Neuchâtel (Suisse), Juin A.VOUZELAUD optical amplifiers 2014, 3p. Scientific Production — 123 MAI14332 G.VINCENZI, G.DELIGEORGIS, F.COCCETTI, P.PONS Open-Thru de-embedding for Graphene RF devices MAI14333 I.AUGUSTYNIAK, P.KNAPKIEWICZ, J.DZIUBAN, M.OLSZACKI, A.TCHKALOV, P.PONS Z.ABDALLAH, A.RUMEAU, A.FERNANDEZ , O.LLOPIS, J.MAXIN, G.PILLET, L.MORVAN, G.CIBIEL H.LIU, D.LERAY, P.PONS, S.COLIN Threshold sensor for high-doses of radiation MAI14334 MAI14345 MAI14352 MAI14394 MAI14400 Photodiode nonlinear modeling and its impact on optical links phase noise Conferences with published proceedings -‐national-‐ Authors Title MAN09091 M.KRAEMER, D.DRAGOMIRESCU, R.PLANA MAN09093 MAN09094 MAN09114 MAN09195 MAN09205 MAN09219 MAN09221 MAN09222 MAN09240 MAN09246 MAN09257 MAN09260 European Frequency and Time Forum ( EFTF ) 2014 du 23 juin au 26 juin 2014, Neuchâtel (Suisse), Juin 2014, 4p. Finite element multi-physics modeling for ohmic IEEE International Conference on Thermal, contact of microswitches Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems ( EuroSimE ) 2014 du 07 avril au 09 avril 2014, Ghent (Belgique), Avril 2014, 8p. A.TRAILLE, S.KIM, A.COUSTOU, A conformal/rollable monolithic miniaturized ultra- IEEE International Microwave Symposium ( IMS ) H.AUBERT, E.TENTZERIS portable ground penetrating radar using additive 2014 du 01 juin au 06 juin 2014, Tampa Bay (USA), and inkjet printing Juin 2014, 4p. D.MENCARELLI, L.PIERANTONI, Nanoscale modeling of three-contacts graphene IEEE International Microwave Symposium ( IMS ) F.COCCETTI ballistic junctions: analysis of the non-linear 2014 du 01 juin au 06 juin 2014, Tampa Bay (USA), transport Juin 2014, 3p. A.TRAILLE, A.COUSTOU, Printed radar modules for GPR and bioIEEE International Microwave Symposium ( IMS ) H.AUBERT, S.KIM, B.S.COOK, monitoring applications 2014 du 01 juin au 06 juin 2014, Tampa Bay (USA), E.TENTZERIS Workhop on Inkjet-printing: the newt generation of multilayer fabrication, integration & packaging for RF and mm-wave communication, sensing and radar systems, Juin 2014, 7p. [MAN] Ref MAN09092 IEEE International Microwave Symposium ( IMS ) 2014 du 01 juin au 06 juin 2014, Tampa Bay (USA), Juin 2014, 5p. International Conference Mixed Design of Integrated Circuits and Systems ( MIXDES ) 2014 du 19 juin au 21 juin 2014, Lublin (Pologne), Juin 2014, 1p. Conception et modélisation d'une tête RF pour un émetteur récepteur impulsionnel à 60 GHz en technologie CMOS sub-micronique Interface radio IR-UWB pour les réseaux de microsystèmes communicants Published in: Journées Nationales du Réseau Doctoral de Microélectronique (JNRDM 2009), Lyon (France), 1820 Mai 2009, 4p. A.LECOINTRE, Journées Nationales du Réseau Doctoral de D.DRAGOMIRESCU, R.PLANA Microélectronique (JNRDM 2009), Lyon (France), 1820 Mai 2009, 4p. V.PUYAL, D.DRAGOMIRESCU, Déphaseurs à base de MEMS RF à 60 GHz 16èmes Journées Nationales Microondes (JNM C.VILLENEUVE-FAURE, P.PONS, pour systèmes à réseaux d'antennes 2009), Grenoble (France), 27-29 Mai 2009, 2p. R.PLANA reconfigurables M.KRAEMER, Modélisation comportementale des oscillateurs 16èmes Journées Nationales Microondes (JNM D.DRAGOMIRESCU, R.PLANA micro-ondes en utilisant des réseaux de 2009), Grenoble (France), 27-29 Mai 2009, 2p. neurones A.T.OTT, M.D.BLECH, Enhanced parameter determination of an German Microwave Conference (GEMIC 2009), M.KRAEMER, T.F.EIBERT empirical UWB channel model for european Munich (Allemagne), 16-18 Mars 2009, 4p. building standards F.KHALIL, C.J.BARRIOSSimulations électromagnétiques distribuées sur 16èmes Journées Nationales Microondes (JNM HERNANDEZ, H.AUBERT, une grille de calcul 2009), Grenoble (France), 27-29 Mai 2009, 3p. YDENNEULIN, F.COCCETTI, R.PLANA C.BREDIN, N.MOHAMED, Active cold loads for radiometer calibration Journées Nationales Microondes (JNM 2011), Brest J.C.ORLHAC, J.M.GOUTOULE, (France), 18-20 Mai 2011, 8p. L.ESCOTTE, P.PIIRONEN H.HALLIL, P.MENINI, H.AUBERT Nouveau capteur de gaz hyperfréquence à base Journées Nationales du Réseau Doctoral de d'un résonateur diélectrique en SnO2 Microélectronique (JNRDM 2009), Lyon (France), 1820 Mai 2009, 2p. P.H.MERRER, A.BOUCHIER, Résonateurs optiques à très forts facteurs de 16èmes Journées Nationales Microondes (JNM O.LLOPIS, G.CIBIEL qualité pour la stabilisation d'oscillateurs 2009), Grenoble (France), 27-29 Mai 2009, 4p. hyperfréquences à très haute pureté spectrale H.BRAHIMI, O.LLOPIS Approche CAO de systèmes optiques- 16èmes Journées Nationales Microondes (JNM hyperfréquences incluant les performances en 2009), Grenoble (France), 27-29 Mai 2009, 4p. bruit T.IDDA, N.OLOFSSON, J.Ek-Weis, Modélisation d'une capacité variable à base de 16èmes Journées Nationales Microondes (JNM J.RUAN, S.PACCHINI, nanotubes de carbone verticaux 2009), Grenoble (France), 27-29 Mai 2009, 5p. E.CAMPBELL, R.PLANA J.RUAN, N.NOLHIER, La fiabilité d'un MEMS-RF capacitif en bande W 16èmes Journées Nationales Microondes (JNM G.J.PAPAIOANNOU, soumis à des décharges électrostatiques 2009), Grenoble (France), 27-29 Mai 2009, 4p. D.TREMOUILLES, F.COCCETTI, R.PLANA B.PALACIN, N.J.G.FONSECA, Etudes sur la forme du plan de masse d'une 16èmes Journées Nationales Microondes (JNM S.HEBIB, H.AUBERT antenne multibandes pour applications 2009), Grenoble (France), 27-29 Mai 2009, 4p. GPS/Galileo/Télémesure H.HALLIL, P.MENINI, H.AUBERT Nouveau détecteur de gaz hyperfréquence à 16èmes Journées Nationales Microondes (JNM modes de galerie 2009), Grenoble (France), 27-29 Mai 2009, 4p. Scientific Production — 124 MAN09265 MAN09267 MAN09268 MAN09269 MAN09270 MAN09279 F.CHEBILA, M. M.JATLAOUI, P.PONS, H.AUBERT G.ASTRE, J.G.TARTARIN, J.CHEVALLIER, S.L.DELAGE M.CHEIKH MHAND, J.G.TARTARIN, J.DAVID, S.KESSLER, A.MORIN R.CORBIERE, B.LOUIS, J.G.TARTARIN J.HENAUT, D.DRAGOMIRESCU, R.PLANA MAN09303 H.BADR EL DIN EL SHAARAWY, B.OUAGAGUE, F.COCCETTI, R.PLANA, M.EL-SAID, E.AHASHISH H.BADR EL DIN EL SHAARAWY, B.OUAGAGUE, F.COCCETTI, R.PLANA, M.EL-SAID, E.AHASHISH A.ALI MOHAMED ALI, N.J.G.FONSECA, F.COCCETTI, H.AUBERT M.K.MOHD SALLEH, T.M.VU, G.PRIGENT G.PRIGENT, T.M.VU, R.PLANA MAN09304 T.M.VU, G.PRIGENT, R.PLANA MAN09305 R.ALI LIMAN, J.L.MURARO, P.LAUTIER, O.LLOPIS, J.GRAFFEUIL G.MENEGHIN, C.VIALLON, E.TOURNIER, T.PARRA MAN09280 MAN09281 MAN09302 MAN09306 MAN09331 MAN09396 MAN09488 MAN09580 MAN09682 MAN09788 MAN09818 MAN09919 MAN09926 MAN10294 MAN10295 MAN10605 Interrogation radar de micro-capteur de presion à transduction électromagnétique Amélioration de la robustesse des transistors AlGaN/GaN HEMT par l'utilisation du deutérium pour passiver les défauts structurels Etude de la qualité de transmission radio pour le système de pression pneumatique automobile 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 4p. 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 4p. Nouvelle structure active d'atténuateur variable en bande X, en technologie SiGe Adéquation algorithme. Architecture matérielle dans le cadre du développement d'interfaces radio haut débit pour les réseaux de capteurs Miniaturisation d'un filtre à résonateur en anneau en utilisant des stubs pliés et des DGSs circulaires 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 4p. GDR SOC-SIP, Paris (France), 10-12 Juin 2009, 2p. 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 4p. 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 4p. Filtre multi coupe-bande reconfigurable utilisant 16èmes Journées Nationales Microondes (JNM un nouveau résonateur à base de structure à 2009), Grenoble (France), 27-29 Mai 2009, 4p. plan de masse usiné en technologie CPW Nouvelles structures passives bicouches à base de GIS pour des matrices de répartitions multifaisceaux compactes Synthèse de filtre pseudo-elliptique accordable en fréquence Synthèse résonnateur DBR accordable en fréquence Résonateur reconfigurable à MEMS RF en technologie Si-BCB Bruit dans les détecteurs de phase à échantillonage pour boucle à verrouillage de phase RF Mélangeur résistif intégré en technologie BiCMOS SiGe pour des applications de radar automobile embarqué à 79 GHz Etude d'une structure CRLH optimisée 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 3p. Modélisation Electromagnétique d'un Réseau Fini et Non-Uniforme par la Technique par Changements d'Echelle E. B.TCHIKAYA, H.AUBERT, Approche multi-échelle pour la modélisation H.LEGAY, N.J.G.FONSECA électromagnétique de FSS finies de type grilles métalliques épaisses formées de cellules non identiques k.BOUZEBRA, L.COOLEN, Fabrication, caractérisation et optimisation de O.GAUTHIER-LAFAYE, cavities à cristaux photoniques fonctionnant S.BONNEFONT, F.LOZES-DUPUY, dans le visible/proche visible A.MAITRE S.GODET, E.TOURNIER, Diviseur de fréquence SiGe:C 0,25 µm, large O.LLOPIS, J.JUYON, A.CATHELIN bande et faible bruit, pour banc de mesure de bruit de phase intégré H.YOUSSEF, A.FERRAND Caractérisation mécanique du SiOxNy par la technique de gonflement de membrane comparée à un modèle éléments finis M.PIGEON, C.MORLAAS, Conception d'une antenne miniature pour H.AUBERT, B.SOUNY application GPS A.KARA OMAR, Architecture RF 6 à 8.5 GHz large bande et D.DRAGOMIRESCU, basse consommation pour les réseaux de A.COUSTOU, R.PLANA capteurs sans fil en technologie CMOS 0.13um J.HENAUT, D.DRAGOMIRESCU, Développement d'un réseau de capteurs pour R.PLANA des applications aérospatiales: topologie réseau et interface radio A.BOUCHIER, K.SALEH, Etude du bruit de phase des oscillateurs P.H.MERRER, O.LLOPIS, optoélectroniques basés sur des résonateurs G.CIBIEL optiques à très forts coefficients de qualité 16ème Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009 B.OUAGAGUE, F.COCCETTI, R.PLANA H.YOUSSEF, A.FERRAND, P.PONS, R.PLANA J.CAMPOS, O.GAUTHIERLAFAYE, S.BONNEFONT, A.MONMAYRANT, F.LOZESDUPUY, E.CENTENO A.RASHID, H.AUBERT, H.LEGAY 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 4p. 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 4p. 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 4p. 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 4p. 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 6p. 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Juin 2009, 4p. Caractérisation mécanique de films minces par 19ème Congrès Français de Mécanique (CFM'09), une méthode éléments finis recalée sur un test Marseille (France), 24-28 Août 2009, 7p. de gonflement Conception de cavités laser à cristaux Journées Nationales d'Optique Guidée (JNOG photoniques en régime d'autocollimation 2009), Lille (France), 6-9 Juillet 2009, 3p. Scientific Production — 125 Journées CNES Jeunes Chercheurs (JC² 2009), Toulouse (France), 5-7 Octobre 2009, 3p. 28ièmes Journées Nationales d'Optique Guidée (JNOG 2009), Lille (France), 6-9 Juillet 2009, pp.205207 16ème Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 4p. Journées Nationales du Réseau Doctoral de Microélectronique (JNRDM 2009), Lyon (France), 1820 Mai 2009, 4p. 16ème Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 2p. Journées Nationales du Réseau Doctoral en Microélectronique (JNRDM 2010), Montpellier (France), 7-9 Juin 2010, 4p. Journées Nationales du Réseau Doctoral en Microélectronique (JNRDM 2010), Montpellier (France), 7-9 Juin 2010, 4p. Journées Nationales de l'Optique Guidée 2010, Besançon (France), 19-22 Octobre 2010, 3p. MAN10663 K.CHAN SHIN YU, Conception A.L.FEHREMBACH, O.GAUTHIER- résonnants LAFAYE, A.MONMAYRANT, infrarouge S.BONNEFONT, P.ARGUEL, F.LOZES-DUPUY, A.SENTENAC MAN10781 A.GHANNAM, C.VIALLON, D.BOURRIER, T.PARRA MAN10867 MAN10924 MAN10976 MAN11039 MAN11040 MAN11175 MAN11181 MAN11182 MAN11183 MAN11184 MAN11186 MAN11187 A.KARA OMAR, D.DRAGOMIRESCU, A.COUSTOU, A.RUMEAU, R.PLANA K.SALEH, A.BOUCHIER, P.H.MERRER, O.LLOPIS, G.CIBIEL MAN11189 MAN11190 S.HEBIB, H.AUBERT MAN11191 S.HEBIB, S.BOUAZIZ, H.AUBERT, F.LERASLE V.PUYAL, S.COPPOLA, D.DRAGOMIRESCU, R.PLANA A.GHANNAM, D.BOURRIER, C.VIALLON, J.M.BOULAY, G.BOUISSE, T.PARRA F.TAHIR, H.AUBERT MAN11223 MAN11238 à réseaux Journées Nationales d'Optique Guidée (JNOG le moyen 2010), Besaçon (France), 20-22 Octobre 2010, 3p. Caractérisation micro-onde de la résine épaisse Journées de Charactérisation Microondes et SU8 utilisée dans un procédé "above-ic" Matériaux (JCMM 2010), Brest (France), 31 Mars - 2 Avril 2010, 4p. L.OURAK, D.SERON, C.VIALLON, Conception et réalisation d'un dispositif Journées de Caractérisation Microondes et T.PARRA d'extraction des paramètres électromagnétiques Matériaux (JCMM 2010), Brest (France), 31 Mars - 2 de matériaux dielectriques pour applications RF avril 2010, 4p. et micro-ondes D.BARAT, V.BARDINAL, T.CAMPS, Micro-optique auto-alignée sur VCSELs par Journées de la Matière Condensée (JMC12), Troyes B.REIG, J.B.DOUCET, E.DARAN, photopolymérisation infrarouge (France), Avril 2011, 1p. (Résumé) I.DIKA, C.TURCK, J-P.MALVAL, O.SOPPERA P.ARGUEL, J.GRISOLIA, LUMELEC: de la photodétection au Journées Pédagogiques du CNFM ( JPCNFM ) 2010 F.GESSINN, J.LAUNAY, H.TAP photovoltaïc, ou comment marier l'optique et du 22 novembre au 24 novembre 2010, Saint Malo l'électronique (France), Novembre 2010, 2p. E.LEYNIA DE LA JARRIGE, Caractérisation d'une charge froide active Journées Nationales Microondes (JNM 2011), Brest L.ESCOTTE, E.GONNEAU, réalisée à partir d'un TBH SiGe pour la (France), 18-20 Mai 2011, 4p. J.M.GOUTOULE calibration d'un radiomètre E.LEYNIA DE LA JARRIGE, Description d'un radiomètre réalisé pour l'étude Journées Nationales Microondes (JNM 2011), Brest L.ESCOTTE, E.GONNEAU, de stabilité d'une charge froide active en bande (France), 18-20 Mai 2011, 4p. J.M.GOUTOULE L M. M.JATLAOUI, Intégration hétérogène de nano-objets Journées Nationales Microondes (JNM 2011), Brest D.DRAGOMIRESCU, communicants sans-fil sur substrat souple (France), 18-20 Mai 2011, 4p. S.CHARLOT, P.PONS, H.AUBERT, R.PLANA M.ERCOLI, D.DRAGOMIRESCU, Conception et réalisation d'un balun intégré en Journées Nationales Microondes (JNM 2011), Brest A.RUMEAU, L.BARY, R.PLANA technologie CMOS 65nm pour application (France), 18-20 Mai 2011, 4p. hyperfréquences C.VILLENEUVE-FAURE, Dispersion et fiabilité technologique des micro- Journées Nationales Microondes (JNM 2011), Brest V.PUYAL, P.PONS, commutateurs capacitifs RF (France), 18-20 Mai 2011, 4p. D.DRAGOMIRESCU, R.PLANA A.ADANE, F.GALLEE, C.PERSON, Réseau d'antennes patch à 60 GHz excitées par Journées Nationales Microondes (JNM 2011), Brest V.PUYAL, C.VILLENEUVEmicrorubans en U sur membranes Si/BCB et (France), 18-20 Mai 2011, 4p. FAURE, D.DRAGOMIRESCU contrôlées par des déphaseurs à MEMS RF P.MARTINET, H.BRAHIMI, K.SALEH, P.H.MERRER, O.LLOPIS T.BORR, J.JUYON, E.TOURNIER MAN11192 d'un filtre spectral fonctionnant dans MAN11249 S.KARBOYAN, J.G.TARTARIN, G.ASTRE, F.OLIVIE, B.LAMBERT MAN11278 L.OURAK, A.GHANNAM, C.VIALLON, T.PARRA MAN11356 G.MENEGHIN, T.EPERT, L.BARY, C.VIALLON, T.PARRA Mélangeur d'émission faible consommation pour Journées Nationales Microondes (JNM 2011), Brest des applications aérospatiales (France), 18-20 Mai 2011, 4p. Etude théorique et expérimentale pour l'amélioration du bruit de phase des oscillateurs optoélectroniques basés sur des résonateurs optiques fibrés et évaluation de la stabilité thermique Modélisation CAO de la multiplication de fréquence par l'optique pour la génération de porteuses en gamme millimétrique Convertisseur phase/amplitude sinusoïdal et gaussien pour synthétiseur digital direct basse consommation ultra rapide Circuit d'alimentation d'une antenne tri-bande avec diversité de polarisation circulaire Antennes lecteur RFID à polarisation circulaire pour application robotique Déphaseurs passifs an CMOS 65nm pour réseaux d'antennes à 60 GHz Conception et intégration " Above-IC " d'inductances à fort coefficient de surtension pour applications de puissance RF Optimisation d'une cellule déphaseuse à MEMS pour réseaux réflecteurs basée sur un circuit électrique équivalent Identification des pièges dans les dispositifs HEMT AlGaN/GaN par mesures en I-DLTS, en bruit BF et électriques pulsées Conception et optimisation de transformateurs solénoïdaux et toroïdaux pour l'intégration de matériaux magnétiques Conception et caractérisation d'un mélangeur résistif en bande E réalisé en technologie BiCMOS SiGe0.13 um Scientific Production — 126 Journées Nationales Microondes (JNM 2011), Brest (France), 18-20 Mai 2011, 4p. Journées Nationales Microondes (JNM 2011), Brest (France), 18-20 Mai 2011, 4p. Journées Nationales Microondes (JNM 2011), Brest (France), 18-20 Mai 2011, 4p. Journées Nationales Microondes (France), 18-20 Mai 2011, 4p. Journées Nationales Microondes (France), 18-20 Mai 2011, 4p. Journées Nationales Microondes (France), 18-22 Mai 2011, 4p. Journées Nationales Microondes (France), 18-20 Mai 2011, 4p. (JNM 2011), Brest (JNM 2011), Brest (JNM 2011), Brest (JNM 2011), Brest Journées Nationales Microondes (JNM 2011), Brest (France), 18-20 Mai 2011, 4p. Journées Nationales Microondes (JNM 2011), Brest (France), 18-20 Mai 2011, 4p. Journées Nationales Microondes (JNM 2011), Brest (France), 18-20 Mai 2011, 4p. Journées Nationales Microondes (JNM 2011), Brest (France), 18-20 Mai 2011, 4p. MAN11409 MAN11410 MAN11437 MAN11438 MAN11439 MAN11907 MAN12347 MAN12634 MAN12662 MAN12672 MAN12704 MAN12710 MAN12818 MAN13006 MAN13210 MAN13211 S.PACCHINI, A.RUMEAU, E.FLAHAUT, N.FABRE, V.CONEDERA, F.MESNILGRENTE, F.COCCETTI, R.PLANA B.OUAGAGUE, S.PACCHINI, H.BADR EL DIN EL SHAARAWY, A.RUMEAU, S.PAYAN, A.ROUSSEAU, M.MAGLIONE, R.PLANA J.ARLANDIS, E.CENTENO, R.POLLES, A.MOREAU, J.CAMPOS, A.MONMAYRANT, O.GAUTHIER-LAFAYE, S.BONNEFONT, F.LOZES-DUPUY K.CHAN SHIN YU, P.ARGUEL, A.L.FEHREMBACH, A.MONMAYRANT, S.BONNEFONT, A.SENTENAC, O.GAUTHIER-LAFAYE A.MONMAYRANT, J.CAMPOS, O.GAUTHIER-LAFAYE, J.ARLANDIS, E.CENTENO, S.BONNEFONT, F.LOZES-DUPUY M.PIGEON, C.MORLAAS, H.AUBERT, B.SOUNY Caractérisation de couches minces en Journées Nationales Microondes (JNM 2011), Brest nanotubes de carbone déposées par inkjet (France), 18-20 Mai 2011, 4p. printing Caractérisation de films de BST déposés sur Journées Nationales Microondes (JNM 2011), Brest différents types de substrats pour les (France), 18-20 Mai 2011, 4p. applications hyperfréquences reconfigurables Autocollimation mesoscopique et focalisation de Journées Nationales d'Optique Guidée (JNOG la lumière dans des cristaux photoniques 2011), Marseille (France), 4-7 Juillet 2011, pp.119121 Filtre spectral indépendant à la polarisation à Journées Nationales d'Optique Guidée (JNOG base de réseaux résonants 1D croisés 2011), Marseille (France), 4-7 Juillet 2011, pp.67-69 Laser tout cristal photonique: du guide Horizons de l'Optique ( Optique ) 2011 du 04 juillet photonique optimisé au laser autocollimaté sans au 07 juillet 2011, Marseille (France), 4-7 Juillet guide 2011, pp.30-31 Surface Haute Impédance à motifs miniatures Journées Nationales Microondes ( JNM ) 2011 du 18 mai au 20 mai 2011, Brest (France), Mai 2011, 4p. L.CAMPAGNOLO, S.ROMAN, A new optical feedback interferometer for Congrès Annuel de la Société de Bioméchanique J.PERCHOUX, S.LORTHOIS measuring red blood cell velocity distributions in 2012 du 16 octobre au 19 octobre 2012, Toulouse individual capillaries: a feasibility study in (France), Prix du meilleur poster, 2012, 2p. microchannels A.MONMAYRANT, J.CAMPOS, CLAC: Cavité Laser à Auto-Collimation Journées Nationales Nanosciences et O.GAUTHIER-LAFAYE, Nanotechnologies ( J3N ) 2012 du 07 novembre au S.BONNEFONT, F.LOZES-DUPUY, 09 novembre 2012, Bordeaux (France), 2012, 20p. J.ARLANDIS, E.CENTENO, Z.SEDAGHAT, C.COUTEAU, A.BRUYANT T.GUEROUT, G.DA COSTA, Grid'5000 energy-aware experiments with DVFS Grid’5000 School 2012 du 03 décembre au 06 T.MONTEIL, M.ALEXANDRU décembre 2012, Nantes (France), Décembre 2012, 7p. J.G.TARTARIN, S.KARBOYAN, Vers une optimisation fonctionnelle des Journées de la Matière Condensée ( JMC ) 2012 du S.NSELE, T.NOUTSA DJOKO, dispositifs à grande bade interdite GaN: 27 août au 31 août 2012, Montpellier (France), L.ESCOTTE, J.GRAFFEUIL, exploitation du bruit basse et haute fréquence, Décembre 2012, 1p. D.CARISETTI, S.PIOTROWICZ, et corrélation avec d'autres techniques de B.LAMBERT caractérisation T.GUEROUT, T.MONTEIL, G.DA Simulation énergétique de tâches distribuées Conférence d’informatique en Parallélisme, COSTA, M.ALEXANDRU avec changements dynamiques de fréquence Architecture et Système ( ComPAS ) 2013 du 15 janvier au 18 janvier 2013, Grenoble (France), Janvier 2013, 8p. S.KARBOYAN, J.G.TARTARIN, Analyse et localisation du courant de grille dans Journées Nationales Microondes ( JNM ) 2013 du D.CARISETTI, B.LAMBERT les HEMTs AlGaN/GaN par des mesures de 14 mai au 17 mai 2013, Paris (France), Mai 2013, bruit basse fréquence et par technique électro- 2p. optique OBIRCH P.ARGUEL, J.GRISOLIA, De la microfabrication à la caractérisation opto- Journées Pédagogiques du CNFM ( JPCNFM ) 2012 J.LAUNAY, H.TAP, C.CAPELLO, électrique de détecteurs optiques LUMELEC du 28 novembre au 30 novembre 2012, Saint Malo C.DUPRAT, F.GESSINN, (France), Novembre 2012, 3p. F.GUERIN, C.ROUABHI A.ALI SLIMANE, A.DESMOULIN, Technologies pour applications optiques sur Journées thématiques RF/millimétrique et optique K.SALEH, O.LLOPIS, verre et SOI, cas des résonateurs 2D et 3D intégrée 2013 du 17 janvier au 18 janvier 2013, A.FERNANDEZ Grenoble (France), GT4 et GT2 du GDR "Ondes", Janvier 2013, 2p. H.MAKHLOUFI, E.DARAN, Structuration de surfaces de GaAs par nano- Journées Nano, Micro et Optoélectronique ( JNMO ) J.B.DOUCET, G.LACOSTE, impression douce et reprise d'epitaxie sur 2013 du 21 mai au 23 mai 2013, Evian (France), A.LARRUE, O.GAUTHIERsurfaces processées Mai 2013, 2p. LAFAYE, C.FONTAINE H.MAKHLOUFI, P.BOONPENG, Puits quantiques GaAsBi/GaAs pour le proche Journées Nano, Micro et Optoélectronique ( JNMO ) J.NICOLAI, S.MAZZUCATO, infra-rouge: epitaxie et propriété 2013 du 21 mai au 23 mai 2013, Evian (France), H.CARRERE, A.ARNOULT, structurales/optiques Mai 2013, 2p. G.LACOSTE, S.CALVEZ, O.GAUTHIER-LAFAYE, X.MARIE, A.PONCHET, C.FONTAINE Scientific Production — 127 MAN13213 MAN13236 MAN13243 MAN13244 MAN13247 MAN13303 MAN13316 MAN13321 MAN13348 MAN13584 MAN13585 MAN13586 MAN13587 S.CALVEZ, A.LARRUE, G.ALMUNEAU, O.GAUTHIERLAFAYE, F.LOZES-DUPUY, M.MAXIMOV R.DE PAOLIS, F.COCCETTI, S.PAYAN, A.ROUSSEAU, M.MAGLIONE, G.GUEGAN, R.PLANA G.ALMUNEAU, Y.LAAROUSSI, C.CHEVALLIER, F.GENTY, N.FRESSENGEAS, J.JACQUET, D.SANCHEZ, L.CERUTTI, T.TALIERCIO A.ALI SLIMANE, O.LLOPIS, A.DESMOULIN, V.CONEDERA, A.FERNANDEZ P.ARGUEL, A.BOUCHIER, L.COUTO-ROSADO, X.DOLLAT, J.FANCHON, B.FRANC, C.GANIBAL, F.LOZES-DUPUY, P.MARCOUL, A.MAUFFRET R.LABERDESQUE, A.MONMAYRANT, O.GAUTHIERLAFAYE K.SALEH, A.FERNANDEZ , O.LLOPIS, G.CIBIEL Sélection modale dans les lasers à mode de Journées Nano, Micro et Optoélectronique ( JNMO ) galerie 2013 du 21 mai au 23 mai 2013, Evian (France), Mai 2013, 1p. A.ALI SLIMANE, A.DESMOULIN, O.LLOPIS, V.CONEDERA, A.FERNANDEZ S.NSELE, L.ESCOTTE, J.G.TARTARIN, S.PIOTROWICZ, S.L.DELAGE Approche technologique de résonateurs optiques 2D et 3D sur substrats de verre et de silicium Modélisation large bande de la dispersion fréquentielle de la conductance de sortie et de la transconductance dans les HEMTs AlInN/GaN F.CHOUCHANE, H.MAKHLOUFI, S.CALVEZ, O.GAUTHIERLAFAYE, A.ARNOULT, C.FONTAINE, G.ALMUNEAU H.MAKHLOUFI, P.BOONPENG, J.NICOLAI, S.MAZZUCATO, H.CARRERE, A.ARNOULT, G.LACOSTE, S.CALVEZ, X.MARIE, A.PONCHET, C.FONTAINE H.MAKHLOUFI, E.DARAN, J.B.DOUCET, G.LACOSTE, A.LARRUE, S.CALVEZ, C.FONTAINE P.BOONPENG, H.MAKHLOUFI, G.LACOSTE, A.ARNOULT, C.FONTAINE A.GHANNAM, D.BOURRIER, L.OURAK, C.VIALLON, T.PARRA Procédé AlOx impliquant une étape de reprise d’épitaxie - vers une ingénierie électrique etoptique libre dans les composants photoniques de la filière GaAs Epitaxie par jets moléculaires et propriétés de puits quantiques GaAsBi/GaAs (001) A.MAGNANI, T.EPERT, C.VIALLON, M.BORGARINO, T.PARRA T.EPERT, F.CAIGNET, C.VIALLON, A.WANG, N.MAURAN, T.PARRA Convertisseur de fréquences BiCMOS en bande K basé sur un mélange passif à faibles pertes Filtre compact accordable intégrant des capacités BST en fréquence Journées Nationales Microondes ( JNM ) 2013 du 14 mai au 17 mai 2013, Paris (France), Mai 2013, 4p. Oxide confinement and high contrast grating Journées Nano, Micro et Optoélectronique ( JNMO ) mirrors for mid-infrared VCSEL 2013 du 21 mai au 23 mai 2013, Evian (France), Mai 2013, 1p. Résonateur 2D et 3D sur substrat silicium Journées Nano, Micro et Optoélectronique ( JNMO ) 2013 du 21 mai au 23 mai 2013, Evian (France), Mai 2013, 1p. Un instrument de médiation scientifique par l'art: Congrès Optique ( OPTIQUE ) 2013 du 08 juillet au la harpe laser à climats musicaux 11 juillet 2013, Paris (France), Juillet 2013, 1p. Modélisation de réseaux résonants en cavité Résonateur fibré de facteur Q extrême pour la réalisation d'oscillateurs optoélectroniques Journées Nationales d'Optique Guidée ( JNOG ) 2013 du 08 juillet au 11 juillet 2013, Paris (France), Juillet 2013, 3p. Journées Nationales d'Optique Guidée ( JNOG ) 2013 du 08 juillet au 11 juillet 2013, Paris (France), Juillet 2013, pp.147-149 Journées Nationales d'Optique Guidée ( JNOG ) 2013 du 08 juillet au 11 juillet 2013, Paris (France), Juillet 2013, 3p. Journées Nationales Microondes ( JNM ) 2013 du 14 mai au 17 mai 2013, Paris (France), Mai 2013, 4p. Colloque annuel du GDR PULSE 2013 du 03 juillet au 05 juillet 2013, Aix en Provence (France), Juillet 2013, 1p. (Résumé) Colloque annuel du GDR PULSE 2013 du 03 juillet au 05 juillet 2013, Aix en Provence (France), Juillet 2013, 1p. (Résumé) Réalisation et propriétés de puits quantiques Colloque annuel du GDR PULSE 2013 du 03 juillet GaInAs sur surfaces nanostructurées de GaAs au 05 juillet 2013, Aix en Provence (France), Juillet (001) 2013, 1p. (Résumé) MAN13776 Oscilloscope intégré sur puce appliqué à la caractérisation d'un générateur d'impulsions à 20 GHz F.BANITORFIAN, F.ESHGHABADI, A Novel tunable water-based RF MEMS A.ABD MANAF, P.PONS, N.MOHD solenoid Inductor NOH, M.TAFIR MUSTAFFA, O.SIDEK Colloque annuel du GDR PULSE 2013 du 03 juillet au 05 juillet 2013, Aix en Provence (France), Juillet 2013, 1p. (Résumé) Journées Nationales Microondes ( JNM ) 2013 du 14 mai au 17 mai 2013, Paris (France), Mai 2013, 4p. Journées Nationales Microondes ( JNM ) 2013 du 14 mai au 17 mai 2013, Paris (France), Mai 2013, 4p. Journées Nationales Microondes ( JNM ) 2013 du 14 mai au 17 mai 2013, Paris (France), Mai 2013, 4p. IEEE Regional Symposium on Micro and Nano Electronics ( RSM ) 2013 du 25 septembre au 27 septembre 2013, Langkawi (Malaisie), Septembre 2013, 4p. [MSI] Ref Conferences without proceedings -‐international-‐ Authors Title Published in: MSI09115 C.VION, A.AVOINE, L.COOLEN, J.M.FRIGERIO, C.SCHWOB, A.MAITRE, O.GAUTHIERLAFAYE, S.BONNEFONT, F.LOZES-DUPUY MAN13618 MAN13619 MAN13620 Effet du bismuth sur la croissance de GaAs réalisée sur silicium (001) vicinal par épitaxie par jets moléculaires Métallisations cuivre 3D pour des passifs performants et l'interconnexion de puces MMIC Design and characterization of photonic crystals Nanometa 2009, Seefeld (Autriche), 5-8 Janvier working in the visible and near IR range 2009, 1p. (Résumé) Scientific Production — 128 MSI09568 MSI09791 MSI10726 MSI10727 MSI10727 MSI11525 MSI11783 MSI11820 MSI11872 MSI12350 MSI12455 MSI12510 MSI12720 MSI12843 S.MOUMDJI, A.LARRUE, D.BELHARET, P.DUBREUIL, S.BONNEFONT, O.GAUTHIERLAFAYE G.ALMUNEAU, M.CONDE, O.GAUTHIER-LAFAYE, V.BARDINAL, C.FONTAINE S.BHAKTHA, O.GAUTHIERLAFAYE, S.BONNEFONT, A.MONMAYRANT, F.LOZESDUPUY, C.VANNESTE, P.SEBBAH Continuous-wave single-mode GaInAsSb/GaSb European Semiconductor Laser Workshop (ESLW photonic crystal coupled cavity lasers emitting at 2009), Vienne (Autriche), 25-26 Septembre 2009, 2,6¼m p.13 (Résumé) J.CAMPOS, J.ARLANDIS, A.MONMAYRANT, O.GAUTHIERLAFAYE, S.BONNEFONT, F.LOZES-DUPUY, E.CENTENO J.CAMPOS, J.ARLANDIS, A.MONMAYRANT, O.GAUTHIERLAFAYE, S.BONNEFONT, F.LOZES-DUPUY, E.CENTENO A.ALLOUCH, K.BOURNINE, P.JOSEPH, S.GEOFFROY, A.BOUCHIER, A.MONMAYRANT, O.GAUTHIER-LAFAYE, F.LOZESDUPUY, A.M.GUE G.DELIGEORGIS, F.COCCETTI, G.KONSTANTINIDIS, M.DRAGOMAN, R.PLANA J.CHERY, J.BOUDIN, M.CATTOEN, HC.SEAT, M.SULEIMAN, P.CHAWAH, G.PLANTIER, A.SOURCE, P.BERNARD, C.BRUNET, S.GAFFET, D.BOYER C.CHEVALLIER, N.FRESSENGEAS, F.GENTIL, J.JACQUET, Y.LAAROUSSI, G.ALMUNEAU, D.SANCHEZ, L.CERUTTI, T.TALIERCIO A.MONMAYRANT, O.GAUTHIERLAFAYE, S.BONNEFONT, S.BHAKTHA, C.VANNESTE, N.BACHELARD, P.SEBBAH, F.LOZES-DUPUY O.RIPOCHE, H.AUBERT, A.BELLION, P.POTIER, P.POULIGUEN Self collimating photonic crystal and laser Japan-France Workshop on nanomaterials (9th), cavities Toulouse (France), 24-26 Novembre 2010, 1p. (Résumé) High reflectivity monolithic sub-wavelength European Semiconductor Laser Workshop (ESLW diffraction grating with GaAs/AlOx stack 2009), Vienne (Autriche), 25-26 Septembre 2009, pp.27-27 Light diffusion in active disordered 2-D GaAs Workshop on Photonics Near field Optic Imaging, membranes Lyon (France), 18-19 Novembre 2010, 1p. Self collimating photonic crystal and laser Workshop on Photonics Near Field Optics Imaging, cavities Lyon (France), 17-18 Novembre 2010, 1p. (Résumé) Liquid-gas microfluidics as a microstructuring NAMIS Workshop ( NAMIS Workshop ) 2012 du 27 tool for optics: controlled defects inside self- mai au 30 mai 2012, Zao (Japon), Zao (Japon), 1p. organized bubble crystals Progress in graphene based devices and International Microwave Symposium (IMS 2011), sensors Baltimore (USA), 5-10 Juin 2011, 1p. (Résumé) High resolution tiltmeters ans strainmeters AGU FALL METTING 2011, San Francisco (USA), 5based on extrinsec fiber Fabbry-Perot 9 Décembre 2011 (Résumé) interferometry: the LINES project Optimized GaAs high contrast grating design Frontiers in Optics ( FiO ) 2011 du 16 octobre au 20 and fabrication for mid-infrared application at 2.3 octobre 2011, San José (USA), Octobre 2011, 2p. um Random laser in totally disordered GaAs/AlGaAs heterostructures 2D CLEO 2012, San Jose (USA), 6-11 Mai 2012, 0p. Spiral antenna miniaturization in very high International Symposium of ANtenna Technology frequency band and applied ElectroMagnetics (ANTEM). Toulouse Space Show 2012, Toulouse (France), 25-28 Juin 2012, 5p. C.LECLERC, H.AUBERT, Design of multiple feed per beam antenna International Symposium of ANtenna Technology M.ROMIER, A.ANNABI based on a 3-D directional coupler topology and applied ElectroMagnetics (ANTEM). Toulouse Space Show 2012, Toulouse (France), 25-28 Juin 2012, 5p. G.DELIGEORGIS Graphene electronics: past, present and future School and Workshop on Nanoscience and Nanotechnology 2012 du 01 octobre au 04 octobre 2012, Frascati (Italie), Octobre 2012, 7p. S.AOUBA, C.BOULART, Emerging technologies for in-situ dissolved Environmental Sensors 2012 du 23 septembre au O.CARRAZ, P.ARGUEL, methane measurements 28 septembre 2012, Anglet (France), Septembre M.AUFRAY, P.BEHRA, O.BERNAL, 2012, 1p. (Résumé) A.CASTILLO, M.CATTOEN, V.CHAVAGNAC, B.DUBREUIL, J.P.DUTASTA, F.LOZES-DUPUY, P.GISQUET, HC.SEAT [MSN] Ref Conferences without proceedings -‐national-‐ Authors Title MSN09152 F.KHALIL MSN09183 S.HERNANDEZ, K.CHAN SHIN YU, O.GAUTHIER-LAFAYE, A.L.FEHREMBACH, A.SENTENAC, S.BONNEFONT, P.ARGUEL, F.LOZES-DUPUY, J.BERTHON Modélisation multi-échelle: l'électromagnétisme à la grille Etude d'un filtre optique très technologie nanophotonique Published in: étroit Scientific Production — 129 de Journée Annuelle de l'Ecole Doctorale GEET, Toulouse (France), 5 Mars 2009, 3p. en Rencontres de Technologies Spatiales (RTS), 29 Avril 2009, 5p. MSN09264 A.LARRUE, S.BONNEFONT, F.LOZES-DUPUY MSN09271 A.LECOINTRE, D.DRAGOMIRESCU, R.PLANA M.KRAEMER, D.DRAGOMIRESCU, V.PUYAL, R.PLANA A.RASHID MSN09272 MSN09575 MSN09799 Diodes laser tout cristal photonique dans la Rencontre DGA, Recherche et Innovation filière GaAs Scientifique, Paris (France), 14 Mai 2009, 1p. (Résumé) Interface radio IR-UWB reconfigurable pour les GDR SOC-SIP, Paris (France), 10-12 Juin 2009, 2p. réseaux de microsystèmes communicants VHDL-AMS model of RF-MEMS switches for GDR SOC-SIP, Paris (France), 10-12 Juin 2009, 2p. use in the simulation of heterogeneous systems Electromagnetic Modeling of large Finite and Non-Uniform Arrays using Scale-Changing Technique Laser DFB à guides d'onde à cristaux photoniques de très fort facteur de qualité (~106) Journée de l'Ecole Doctorale Toulouse (france), Mars 2009 (GEET 2009), A.LARRUE, P.DUBREUIL, D.BELHARET, A.ARNOULT, A.MONMAYRANT, S.BONNEFONT, O.GAUTHIERLAFAYE, F.LOZES-DUPUY K.CHAN SHIN YU, Filtres spéciaux de nouvelle génération, très A.L.FEHREMBACH, sélectifs en longueurs d'ondes, travaillant dans A.SENTENAC, P.ARGUEL, le moyen infrarouge O.GAUTHIER-LAFAYE, S.BONNEFONT, F.LOZES-DUPUY GdR Ondes, Paris (France), 2-4 Novembre 2009, pp.122-123 MSN09863 A.LECOINTRE MSN10040 B.OUAGAGUE MSN10104 M.KRAEMER Journée de l'Ecole Doctorale (GEET 2009), Toulouse (France), Mars 2009 Journée de l'Ecole Doctorale GEET, Toulouse (France), 11 Mars 2010, 3p. Journée de l'Ecole Doctorale GEET, Toulouse (France), 11 Mars 2010, 3p. MSN10105 J.HENAUT MSN10106 A.KARA OMAR MSN10172 E. B.TCHIKAYA MSN10408 A.BOUCHIER, K.SALEH, P.H.MERRER, O.LLOPIS MSN10561 H.YOUSSEF MSN10592 Y.LAAROUSSI, D.BELHARET, M.MAZAS, S.PINAUD, G.ALMUNEAU, D.SANCHEZ, L.CERUTTI D.BARAT, B.REIG, T.CAMPS, J.B.DOUCET, E.DARAN, V.BARDINAL Y.LAAROUSSI, I.SUAREZALVAREZ, G.ALMUNEAU, L.CERUTTI H.HALLIL MSN09800 MSN10593 MSN10594 MSN10600 Interface Radio IR-UWB pour les réseaux de Microsystèmes Communicants Etude expérimentale de métamateriaux reconfigurables Conception et modélisation d'une tête RF à faible consommation pour un emetteurrécepteur à 60GHz en CMOS nm Architecture du traitement du signal pour les réseaux de capteurs pour des applications aérospatiales Développement d'une architecture de communication sans fil pour les réseaux de capteurs dans le domaine aérospatial Modélisation électromagnétique d'une FSS à grille finie et non uniforme par la technique par changements d'échelles Design et caractérisation d'un résonateur optique à très fort facteur de qualité pour la génération microonde Extraction des propriétés mécaniques des matériaux par la technique de gonflement des membranes. Etude de répétabilité Confinement latéral par diaphragme d'oxyde de composants à émission verticale à base d'antimoine pour le moyen infrarouge GdR Ondes, Paris (France), 2-4 Novembre 2009, pp.110-111 Journée de l'Ecole Doctorale GEET, Toulouse (France), 11 Mars 2010, 3p. Journée de l'Ecole Doctorale GEET, Toulouse (France), 11 Mars 2010, 3p. Journée de l'Ecole Doctorale GEET, Toulouse (France), 11 Mars 2010, 4p. Journée du Club Optique Micro-ondes, Toulouse (France), 3 Juin 2010, 1p. Journée de l'Ecole Doctorale GEET, Toulouse (France), 11 Mars 2010, 3p. Journées Nano Micro et Optoélectronique (JNMO 2010), Les Issambres (France), 28 Septembre - 1 Octobre 2010, 1p. (Résumé) Micro-optique auto-alignée sur VCSELs par Journées Nano Micro et Optoélectronique (JNMO photopolymérisation infrarouge 2010), Les Issambres (France), 28 Septembre - 1 Octobre 2010, 1p. (Résumé) Oxydation thermique humide d'alliages AlAsSb Journées Nano Micro et Optoélectronique (JNMO sur substrat GaSb 2010), Les Issambres (France), 28 Septembre - 1 Octobre 2010, 1p. (Résumé) Nouveau détecteur de gaz hyperfréquence à Journée de l'Ecole Doctorale GEET, Toulouse modes de galerie (France), 11 Mars 2010, 4p. Reprise de croissance par épitaxie par jets Journées Nano Micro et Optoélectronique (JNMO moléculaires sur GaAs: planéité de surface et 2010), Les Issambres (France), 28 Septembre - 1 luminescence de puits GaInAs-GaAs épitaxiés à Octobre 2010, 1p. (Résumé) proximité de l'interface Contrôle fin du procédé d'oxydation de couches Journées Nano Micro et Optoélectronique (JNMO AlxGa1-xAs enterrés 2010), Les Issambres (France), 28 Septembre - 1 Octobre 2010, 1p. (Résumé) MSN10619 C.FONTAINE, O.DESPLATS, G.LACOSTE, A.ARNOULT MSN10653 F.CHOUCHANE, G.ALMUNEAU, O.GAUTHIER-LAFAYE, A.MONMAYRANT, A.ARNOULT, G.LACOSTE, C.FONTAINE J.CAMPOS, A.MONMAYRANT, Conception de cavités laser à cristaux Journées Nano Micro et Optoélectronique (JNMO O.GAUTHIER-LAFAYE, photoniques en régime d'autocollimation 2010), Les Issambres (France), 28 Septembre - 1 S.BONNEFONT, F.LOZES-DUPUY, Octobre 2010, 1p. (Résumé) E.CENTENO, J.ARLANDIS MSN10660 MSN10661 X.BUET, O.GAUTHIER-LAFAYE, S.BONNEFONT, A.MONMAYRANT, F.LOZESDUPUY Stabilisation spectrale d'une diode laser par un Journées Nano Micro et Optoélectronique (JNMO filtre à réseau résonant 2010), Les Issambres (France), 28 Septembre - 1 Octobre 2010, 1p. (Résumé) Scientific Production — 130 MSN10662 K.CHAN SHIN YU, O.GAUTHIER- Etude d'un filtre à LAFAYE, A.MONMAYRANT, fonctionnant à 4.65um S.BONNEFONT, P.ARGUEL, F.LOZES-DUPUY, A.L.FEHREMBACH, A.SENTENAC MSN10700 F.KHALIL, F.CHEBILA, H.AUBERT Interrogation à distance de capteurs passifs dans un tunnel M.CHEIKH MHAND, J.DAVID, Techniques de caractérisation radio pour le J.G.TARTARIN, S.KESSLER, système de surveillance de pression du A.MORIN pneumatique T.BELUCH Architecture et modélisation de réseaux de capteurs sans fils synchronisés et localisée à faible consommation V.CHAVAGNAC, C.BOULART, Deep sea observatory: a need of in situ O.CARRAZ, HC.SEAT, dissolved methane sensor M.CATTOEN, T.BOSCH, S.AOUBA, P.ARGUEL, F.LOZESDUPUY, B.DUBREUIL, M.AUFRAY, P.BEHRA H.MAKHLOUFI, E.DARAN, Mise au point de la lithographie douce par nanoC.FONTAINE impression assistée par rayonnement ultra-violet sur SiO2/GaAs à partir d'un moule souple de polymère L.CAMPAGNOLO Vélocimétrie par réinjection optique appliquée à la mesure d'écoulements microfluidiques MSN10783 MSN11242 MSN11882 MSN12267 MSN12345 MSN13242 MSN13315 MSN13319 MSN13320 MSN13748 réseau résonnants Journées Nano Micro et Optoélectronique (JNMO 2010), Les Issambres (France), 28 Septembre - 1 Octobre 2010, 1p. (Résumé) A.ALI SLIMANE, A.DESMOULIN, V.CONEDERA, O.LLOPIS, A.FERNANDEZ K.SALEH, A.COILLET, V.HUET, A.ALI SLIMANE, R.HENRIET, D.RISTIC, A.DESMOULIN, V.CONEDERA, L.FURFARO, M.JACQUOT, H.SERIER-BRAULT, P.GREDIN, A.FERNANDEZ , P.SALZESTEIN, k.PHAN HUY, M.CHAUVET, Y.CHEMBO, L.LARGER, A.RASOLONIAINA, Y.DUMEIGE, P.FERON, M.FERRARI, M.MORTIER, O.LLOPIS, L.MORVAN, G.CIBIEL Résonateurs à mode de galerie: cas des résonateurs circulaires et résonateurs sphériques Résonateurs optiques à très fort Q et applications Projets ANR-ORA et CNES-SHYRO J.MAXIN, G.PILLET, M.FAUGERON, F.VAN DIJK, L.MORVAN, O.LLOPIS, D.DOLFI O.LLOPIS, S.AZAIZIA, K.SALEH, A.ALI SLIMANE, A.FERNANDEZ Oscillateur optoélectronique couplé Journées Scientifiques 2010 du Club EEA, Rennes (France), 26-27 Octobre 2010, 1p. (Résumé) GDR Ondes, Rennes (France), 26-27 Octobre 2010, 2p. Journées Nationales du Réseau Doctoral en Microélectronique (JNRDM 2011), Paris (France), 2325 Mai 2011, 4p. Workshop MAISOE - RTRA - STAE 2011 du 21 novembre au 23 novembre 2011, Aspet (France), Novembre 2011, 1p. (Résumé) Journées Nationales du Réseau Doctoral en Microélectronique (JNRDM 2012), Marseille (France), 18-20 Juin 2012, 3p. Journées Nationales du Réseau Doctoral en Microélectronique (JNRDM 2012), Marseille (France), 18-20 Juin 2012, 4p. Journées Nationales du Réseau Doctoral en Microélectronique ( JNRDM ) 2013 du 10 juin au 12 juin 2013, Grenoble (France), Juin 2013, 4p. Journée du Club Optique Micro-onde ( JCOM ) 2013 du 20 juin au 20 juin 2013, Paris (France), Juin 2013, 2p. Journée du Club Optique Micro-onde ( JCOM ) 2013 du 20 juin au 20 juin 2013, Paris (France), Juin 2013, 2p. Bruit en 1/f des photodiodes et autres types de Journée du Club Optique Micro-onde ( JCOM ) 2013 bruit basse fréquence en optoélectronique du 20 juin au 20 juin 2013, Paris (France), Juin 2013, 3p. A.RASOLONIAINA, V.HUET, E.LE ORA - Optical Resonators and Applications - Journées Nationales Nanosciences et CREN, Y.DUMEIGE, P.FERON, Programme BLANC 2010 Nanotechnologies ( J3N ) 2013 du 04 novembre au A.COILLET, R.HENRIET, 06 novembre 2013, Marseille (France), Novembre L.FURFARO, M.JACQUOT, 2013, 1p. k.PHAN HUY, M.CHAUVET, Y.CHEMBO, L.LARGER, P.SALZENSTEIN, K.SALEH, A.ALI SLIMANE, A.DESMOULIN, V.CONEDERA, A.FERNANDEZ , P.H.MERRER, O.LLOPIS, H.SERIER-BRAULT, P.GREDIN, L.MICHELY, M.MORTIER [OPI] Ref Books (contribution) -‐international-‐ Authors Title OPI12358 E.TOURNIER Published in: 5.4 GHz, 0.35 µm BiCMOS FBAR-Based Single- MEMS-based Circuits and Systems for Wireless Ended and Balanced Oscillators in Above-IC Communication (Integrated Circuits and Systems), Technology N°ISBN 978-1441987976, Christian C. Enz, Andreas Kaiser (Editors), Springer, 336p., N°ISBN 9781441987976, 2013, Chapitre 6, pp.155-186 Scientific Production — 131 [OPN] Ref Books (contribution) -‐national-‐ Authors Title OPN10825 R.BRIAND, G.HAYE, Smart networked objects & internet of things S.BEAUSSART, C.PERSON, M.BAFLEUR, M.DIAZ, J.M.DILHAC, D.DRAGOMIRESCU, M.O.KILLIJIAN, P.D.BERGER, C.JANNETEAU, J.CAELEN, T.TARIS, C.MULLER, D.GAITI A.MONMAYRANT Façonnage et caractérisation d’impulsions ultrarapides OPN12632 [RII] Ref Gest editor -‐international-‐ Authors Title RII101000 P.PONS RII12554 S.CALVEZ, M.J.ADAMS [RVSI] Ref Scientific Journals -‐international-‐ Authors Title RVSI08366 V.PUYAL, D.DRAGOMIRESCU, R.PLANA RVSI08421 RVSI08490 RVSI08494 RVSI08495 RVSI08587 RVSI08746 Published in: White Paper de l'association des Instituts Carnot, N°ISBN , Décembre 2010, 45p. Systèmes Femtoseconde Optique et phénomènes ultrarapides , Publications MRCT, N°ISBN 978-2918701-06-4, Collection Intégrations des savoirs et des savoir-faire éditée par Publications MRCT, 226p., 2012, pp.51-80 Published in: Selected papers from the 20th Micromechanics Journal of Micromechanics and Microengineering, Europe Workshop (MME09) Juin 2010, Vol.20, 060201p. Semiconductor Science and Technology Semiconductor Science and Technology -Semiconductor Science and Technology, Special issue on the 50th anniversary of the diode laser, Septembre 2012, Vol.27, 142p. Published in: A new approach to RF MEMS shunt switch Proceedings of SPIE. Advanced Topics in modeling from K-band up to W-band Optoelectronics, Microelectronics, and Nanotechnologies IV , Vol.7297, 729720p., Janvier 2009 C.CASENAVE, E.MONTSENY, Identification of nonlinear dynamic models of Control Engineering Practice, Vol.18, N°8, pp.954H.CAMON electrostatically actuated MEMS 969, Août 2010 J.R.POIRIER, H.AUBERT, Lacunarity of rough surfaces from the wavelet IEEE Transactions on Antennas and Propagation, D.L.JAGGARD analysis of scattering data Vol.57, N°7, pp.2130-2136, Juillet 2009 S.HEBIB, H.AUBERT, O.PASCAL, Multi-band pyramidal antenna loaded by a cut- IEEE Transactions on Antennas and Propagation, N.J.G.FONSECA, L.RIES, Joff open-ended waveguide Vol.57, N°1, pp.266-270, Janvier 2009 M.E.LOPEZ S.HEBIB, H.AUBERT, O.PASCAL, Sierpinski pyramidal antenna loaded with a cut- IEEE Antennas and Wireless Propagation Letters, N.J.G.FONSECA, L.RIES, Joff waveguide Vol.8, pp.352-355, Avril 2009 M.E.LOPEZ O.DESPLATS, P.GALLO, On the use of a O2:SF6 plasma treatment on Applied Surface Science, Vol.255, N°6, pp.3897J.B.DOUCET, G.MONIER, GaAs processed surfaces for molecular beam 3901, Janvier 2009 L.BIDEUX, L.JALABERT, epitaxial regrowth A.ARNOULT, G.LACOSTE, C.ARMAND, F.VOILLOT, C.FONTAINE J.RUAN, E.PAPANDREOU, Alpha particle radiation effects in RF MEMS Microelectronics Reliability, Vol.48, N°8-9, pp.1241M.LAMHAMDI, M.KOUTSOURELI, capacitive switches 1244, Janvier 2009 F.COCCETTI, P.PONS, G.J.PAPAIOANNOU, R.PLANA RVSI09019 M.KRAEMER, D.DRAGOMIRESCU, R.PLANA RVSI09021 A.LECOINTRE, D.DRAGOMIRESCU, R.PLANA RVSI09191 I.SUAREZ-ALVAREZ, G.ALMUNEAU, M.CONDE, A.ARNOULT, C.FONTAINE A.ALI MOHAMED ALI, H.AUBERT, Wideband two-layer SIW coupler: design and Electronics Letters, Vol.45, N°13, 8p., Juin 2009 N.J.G.FONSECA, F.COCCETTI experiment RVSI09275 RVSI09309 RVSI09335 J.Y.CHOI, J.RUAN, F.COCCETTI, S.LUCYSZYN A.TAKACS, M.HUARD, S.KESSLER, G.A.CHAKAM, E.LARDJANE A nonlinear order-reducing behavioral modeling IEEE Transactions on Microwave Theory and approach for microwave oscillators Techniques, Vol.57, N°4, Part II, pp.991-1006, Avril 2009 Channel capacity limitations versus hardware Romanian Journal of Information Science and implementation for UWB impulse radio Technology (ROMJIST), Vol.12, N°2, pp.339-353, communications Février 2010 Optimal control of AlAs oxidation via digital alloy Journal of Physics D: Applied Physics, Vol.42, N°17, heterostructure compositions 175105p., Septembre 2009 Three-dimensional RF MEMS switch for power applications Estimation of low frequency coverage inside car for passive access system entry Scientific Production — 132 IEEE Transactions on Industrial Electronics, Vol.56, N°4, pp.1031-1039, Avril 2009 Electronics Letters, Vol.45, N°2, pp.596-597, Juin 2009 RVSI09410 RVSI09452 RVSI09475 RVSI09476 RVSI09477 RVSI09479 RVSI09482 RVSI09483 RVSI09484 RVSI09485 RVSI09506 RVSI09508 RVSI09544 RVSI09582 RVSI09600 RVSI09609 RVSI09616 RVSI09684 RVSI09792 RVSI09828 RVSI09894 RVSI09895 RVSI09896 M.BOUTILLIER, O.GAUTHIERLAFAYE, S.BONNEFONT, F.LOZES-DUPUY, D.LAGARDE, L.LOMBEZ, X.MARIE, V.LIGERET, O.PARILLAUD, M.KRAKOWSKI, O.GILARD D.DRAGOMAN, M.DRAGOMAN, R.PLANA M.AL AHMAD, G.J.PAPAIOANNOU, R.PLANA, P.RUSSER M.AL AHMAD, S.SOULIMANE, R.PLANA, K.VAN DER LINDEN, M.RIEDEL M.AL AHMAD, R.PLANA Measurement of irradiation impact on carrier IEEE Transactions on Nuclear Science, Vol.56, N°4, lifetime in a quantum well laser diode pp.2155-2159, Août 2009 Millimeter wave power sensing using micro- and nanoelectromechanical systems Bandpass filter modeling employing Lorentzian distribution Journal of Applied Physics, Vol.105, N°1, pp.0145051-014505-4, Janvier 2009 Microwave and Optical Technology Letters, Vol.51, N°5, pp.1167-1169, Mai 2009 Macro PZT MEMS based wide-tunable coupled Microwave and Optical Technology Letters, Vol.51, line resonator N°5, pp.1336-1338, Mai 2009 Vertical displacement detection of an aluminium nitride piezoelectric thin film using capacitance measurements H.AUBERT The concept of scale-changing network in the global electromagnetic simulation of complex structures M.AL AHMAD, R.PLANA Piezoelectric coefficients of thin film aluminium nitride characterizations using capacitance measurements D.GIRBAU, L.PRADELL, A method for characterization of intermodulation A.LAZARO, D.PEYROU, P.PONS, distortion produced in MEMS switches P.F.CALMON, R.PLANA, F.COCCETTI M.AL AHMAD, Y.T.LEE, C.IL Tunable (La, Sr) MnO3 (LSMO) ferromegnetic CHEON, E.J.YUN, R.PLANA thin film for radio frequency applications M.AL AHMAD, R.PLANA, C.IL Modeling and characterization of smart LSMO CHEON, E.J.YUN ferromagnetic thin-film tunable resistance M.MATMAT, F.COCCETTI, Capacitive RF MEMS analytical predictive A.MARTY, R.PLANA, C.ESCRIBA, reliability and lifetime characterization J.Y.FOURNIOLS, D.ESTEVE V.PUYAL, D.DRAGOMIRESCU, Frequency scalable model for MEMS capacitive C.VILLENEUVE-FAURE, J.RUAN, shunt switches at millimeter wave frequencies P.PONS, R.PLANA A.BOUNOUH, H.CAMON, MEMS AC Voltage reference for miniaturized D.BELIERES, F.BLARD, F.ZIADE instrumentation and metrology J.RUAN, N.NOLHIER, Accelerated lifetime test of FR-MEMS switches G.J.PAPAIOANNOU, under ESD stress D.TREMOUILLES, V.PUYAL, C.VILLENEUVE-FAURE, T.IDDA, F.COCCETTI, R.PLANA S.MOUMDJI, D.BELHARET, 2.6 µm GaSb based Photonic crystal Coupled P.DUBREUIL, S.BONNEFONT, Cavity Lasers O.GAUTHIER-LAFAYE, Y.ROUILLARD, A.VICET U.ZAGHLOUL HEIBA, Dielectric charging in silicon nitride films for G.J.PAPAIOANNOU, MEMS capacitive switches : Effect of film F.COCCETTI, P.PONS, R.PLANA thickness and deposition conditions M.OLSZACKI, C.MAJ, M.AL The effect of parasitic doping on P-type BAHRI, P.PONS, J.C.MARROT, piezoresistors A.NAPIERALSKI J.GRAFFEUIL, R.ALI LIMAN, Cyclostationary shot noise measurements in RF J.L.MURARO, O.LLOPIS schottky barrier diode detectors G.MONIER, L.BIDEUX, XPS study of the O2/SF6 microwave plasma O.DESPLATS, C.FONTAINE, oxidation of (0 0 1) GaAs surfaces C.ROBERT-GOUMET, B.GRUZZA F.KHALIL, H.AUBERT, Grid- Based Global Electromagnetic Simulation F.COCCETTI, P.LORENZ, Tool for Parametric Distribued Analysis of R.PLANA Array Antennas M.DRAGOMAN, D.DRAGOMAN, Current oscillations in a wie graphene sheet G.DELIGEORGIS, G.KONSTANTINIDIS, D.NECULOIU, A.CISMARU, R.PLANA M.DRAGOMAN, E.FLAHAUT, Writing simple RF electronic devices on paper D.DRAGOMAN, M.AL AHMAD, with carbon nanotube ink R.PLANA G.DELIGEORGIS, Microwave propagation in graphene M.DRAGOMAN, D.NECULOIU, D.DRAGOMAN, G.KONSTANTINIDIS, A.CISMARU, R.PLANA Scientific Production — 133 International Journal of Microwave and Wireless Technologies, Vol.1, N°1, pp.5-9, Février 2009 Progress in Electromagnetics Research B, Vol.16, pp.127-154, Juillet 2009 IEEE Microwave and Wireless Components Letters, Vol.19, N°3, pp.140-142, Mars 2009 Microwave and Optical Technology Letters, Vol.51, N°2, pp.526-529, Février 2009 IEEE Microwave and Wireless Components Letters, Vol.19, N°1, pp.36-38, Janvier 2009 IEEE Transactions on Electron Devices, Vol.56, N°4, pp.665-671, Avril 2009 Microelectronics Reliability, Vol.49, N°9-11, pp.13041308, Août 2009, doi:10.1016/j.microrel.2009.06.049 IEEE Transactions on Microwave Theory and Techniques, Vol.57, N°11, pp.2824-2833, 10 Novembre 2009 Computer Standards & Interfaces, Vol.33, N°2, pp.159-164, Février 2011 Microelectronics Reliability, Vol.49, N°9-11, pp.12561259, Septembre 2009 Electronics Letters, Vol.45, N°22, pp.1119-1121, Novembre 2009 Microelectronics Reliability, Vol.49, N°9-11, pp.13091314, Septembre 2009 Procedia Chemistry, Septembre 2009 Vol.1, N°1, pp.44-47, IEEE Electron Devices Letters, Vol.31, N°1, pp.7476, Janvier 2010 Applied Surface Science, Vol.256, N°1, pp.56-60, 15 Octobre 2009 Progress In Electromagnetics Research M (PIERM), Vol.10, pp.1-12, Janvier 2010 Journal of Applied Physics, Vol.106, N°4, pp.0443121-044312-4, 2009 Nanotechnology, Septembre 2009 Vol.20, N°37, 375203p., Applied Physics Letters, Vol.95, N°7, 073107p., Juillet 2009 RVSI09897 RVSI09953 RVSI10013 RVSI10069 RVSI10079 RVSI10152 RVSI10170 RVSI10237 RVSI10307 RVSI10322 RVSI10335 RVSI10359 RVSI10404 RVSI10430 RVSI10457 RVSI10458 RVSI10459 RVSI10545 RVSI10563 RVSI10579 RVSI10580 RVSI10581 M.AL AHMAD, D.DRAGOMAN, M.DRAGOMAN, R.PLANA, J.H.TING , F.-Y.HUANG , T.-L.LI A.BROUE, T.FOURCADE, J.DHENNIN, F.COURTADE, C.DIEPPEDALE, P.PONS, X.LAFONTAN, R.PLANA E.LEYNIA DE LA JARRIGE, L.ESCOTTE, J.M.GOUTOULE, E.GONNEAU, J.RAYSSAC H.BADR EL DIN EL SHAARAWY, F.COCCETTI, R.PLANA, E.AHASHISH, M.El SAID T.CAMPS, V.BARDINAL, E.HAVARD, M.CONDE, C.FONTAINE, G.ALMUNEAU, L.SALVAGNAC, S.PINAUD, J.B.DOUCET S.PACCHINI, V.CONEDERA, F.MESNILGRENTE, N.FABRE, E.FLAHAUT, F.COCCETTI, M.DRAGOMAN, R.PLANA G.DELIGEORGIS, M.DRAGOMAN, D.NECULOIU, D.DRAGOMAN, G.KONSTANTINIDIS, A.CISMARU, R.PLANA A.LECOINTRE, D.DRAGOMIRESCU, R.PLANA H.HALLIL, F.CHEBILA, P.MENINI, H.AUBERT Multiple negative differential resistances in Journal of Applied Physics, Vol.105, N°11, 110343p., crossed carbon nanotubes Novembre 2009 Validation of bending test by nanoidentification Journal of Micromechanics and Microengineering, for micro-contact analysis of RF-MEMS Vol.20, N°6, 8p., Juin 2010 switches SiGe HBT-based Active radiometer Calibration Cold Load for IEEE Microwave and Wireless Components Letters, Vol.20, N°4, pp.238-240, Avril 2010 Reconfigurable defected ground structure cell using PIN diodes on coplanar waveguide technology Management of the electrical injection uniformity in broad-area top-emitting VCSELs Microwave Optical Technology Letters, Vol.53, N°3, pp.766-770, Mars 2010 European Physical Journal D, Vol.59, N°1, pp.53-57, Juillet 2010 Tunability of carbon nanotubes resistance Material Research Society Symposium Proceedings, deposited by inkjet printing at low temperature Vol.1258, 6p., Septembre 2010 Microwave switching of graphene field effect Applied Physics Letters, Vol.96, N°10, pp.103105-1transistor at and far from the Dirac point 103105-3, Mars 2010 A largely reconfigurable impulse radio UWB transceiver Feasibility of passive Gas sensor based on whispering gallery modes and its RADAR interrogation: theoretical and experimental investigations Parallelization of the scale-changing technique in grid computing environment for the electronmagnetic simulation of multi-scale structures Study of tunability of BST with different structures for microwave applications F.KHALIL, C.J.BARRIOSHERNANDEZ, A.RASHID, H.AUBERT, YDENNEULIN, F.COCCETTI, R.PLANA S.PACCHINI, H.BADR EL DIN EL SHAARAWY, B.OUAGAGUE, S.PAYAN, A.ROUSSEAU, M.MAGLIONE, R.PLANA A.MONMAYRANT, S.WEBER, A newcomer's guide to ultrashort pulse shaping B.CHATEL and characterization V.BARDINAL, B.REIG, T.CAMPS, Spotted custom lenses to tailor the divergence E.DARAN, C.LEVALLOIS, of vertical-cavity surface-emitting lasers C.VERGNENEGRE, T.LEICHLE, G.ALMUNEAU, J.B.DOUCET A.L.FEHREMBACH, O.GAUTHIER- Measurement and modelling of 2D hexagonal LAFAYE, K.CHAN SHIN YU, resonant grating filters performances A.MONMAYRANT, S.BONNEFONT, E.DARAN, P.ARGUEL, F.LOZES-DUPUY, A.SENTENAC E.LEYNIA DE LA JARRIGE, Stability analysis of an SiGe HBT-based active L.ESCOTTE, E.GONNEAU, cold load J.M.GOUTOULE F.TAHIR, H.AUBERT, E.GIRARD Optimization of MEMS-controlled reflectarray unit cell F.TAHIR, H.AUBERT, E.GIRARD Equivalent electrical circuit for designing MEMScontrolled reflectarray phase shifters C.CASENAVE, G.MONTSENY, Identification of dynamic nonlinear thermal H.CAMON, F.BLARD transfers for precise correction of bias induced by temperature variations M.MATMAT, K.KOUKOS, Life expectancy and characterization of F.COCCETTI, T.IDDA, A.MARTY, capacitive RF MEMS switches C.ESCRIBA, J.Y.FOURNIOLS, D.ESTEVE A.TAKACS, N.J.G.FONSECA, Height reduction of the axial-mode open-ended H.AUBERT quadrifilar helical antenna A.ALI MOHAMED ALI, Design and implementation of two-layer N.J.G.FONSECA, F.COCCETTI, compact wideband butler matrices in SIW H.AUBERT technology for Ku-band applications H.YOUSSEF, A.FERRAND, Methods to improve reliability of bulge test P.F.CALMON, P.PONS, R.PLANA technique to extract mechanical properties of thin films Scientific Production — 134 Electronics Letters, Vol.46, N°6, pp.453-455, Mars 2010 Sensors & Transducers, Vol.116, N°5, pp.38-48, Mai 2010 International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, Vol.24, N°1, pp.58-77, Janvier 2011 Material Research Society Symposium Proceedings, Vol.1256, 6p., Septembre 2010 Journal of Physics B: Atomic, Molecular and Optical Physics, Vol.43, N°10, 34p., Mai 2010 IEEE Photonics Technology Letters, Vol.22, N°21, pp.1592-1594, Novembre 2010 Journal of the Optical Society of America A, Vol.27, N°7, pp.1535-1540, Juillet 2010 IEEE Transactions on Microwave Theory and Techniques, Vol.59, N°2, pp.354-359, Février 2011 IET Microwaves Antennas and Propagation, Vol.5, N°3, pp.271-276, Février 2011 Progress In Electromagnetics Research, Vol.100, pp.1-12, Janvier 2010 Microsystem Technologies, Vol.17, N°4, pp.645-654, Avril 2011 Microelectronics Reliability, Vol.50, N°9-11, pp.16921696, Octobre 2010 IEEE Antennas and Wireless Propagation Letters, Vol.9, pp.942-945, Mai 2012 IEEE Transactions on Antennas and Propagation, Vol.59, N°2, pp.503-512, Février 2011 Microelectronics Reliability, Vol.50, N°9-11, pp.18881893, Septembre 2010 RVSI10602 RVSI10603 U.ZAGHLOUL HEIBA, M.KOUTSOURELI, H.WANG, F.COCCETTI, G.J.PAPAIOANNOU, P.PONS, R.PLANA U.ZAGHLOUL HEIBA, G.J.PAPAIOANNOU, F.COCCETTI, P.PONS, R.PLANA RVSI10609 N.J.G.FONSECA, H.AUBERT RVSI10639 M.KRAEMER, D.DRAGOMIRESCU, R.PLANA R.BOSSUYT, L.MAZENQ, V.CONEDERA, J.BALLET, A.M.GUE, J.P. CANO, H.CAMON H.BADR EL DIN EL SHAARAWY, F.COCCETTI, R.PLANA, M.El SAID, E.AHASHISH M.DRAGOMAN, A.MULLER, D.DRAGOMAN, F.COCCETTI, R.PLANA E.TIRAS, N.BALKAN, S.ARDALI, M.GUNES, C.FONTAINE, A.ARNOULT RVSI10648 RVSI10672 RVSI10674 RVSI10694 RVSI10699 RVSI10751 RVSI10752 RVSI10753 RVSI10754 RVSI10771 RVSI10775 RVSI10777 RVSI10788 RVSI10799 RVSI10826 RVSI10827 RVSI10828 F.KHALIL, E. B.TCHIKAYA, R.SHARROCK, T.MONTEIL, F.COCCETTI, H.AUBERT I.EL GMATI, P.F.CALMON, A.BOUKABACHE, P.PONS, H.BOUSSETTA, M.A.KALLALA, KBESBES I.EL GMATI, P.F.CALMON, R.FULCRAND, P.PONS, A.BOUKABACHE, H.BOUSSETTA, M.A.KALLALA, KBESBES S.PACCHINI, D.DUBUC, E.FLAHAUT, K.GRENIER Assessment of dielectric charging in Microelectronics Reliability, Vol.50, N°9-11, pp.1615electrostatically driven MEMS devices: A 1620, Septembre 2010 comparison of available characterization techniques A systematic reliability investigation of the Journal of Micromechanics and Microengineering, dielectric charging process in electrostatically Vol.20, N°6, 064016p., Juin 2010 actuated MEMS based on Kelvin probe force microscopy Compact helical antennas - A review Recent Patents on Electrical Engineering Journal, Vol.3, N°1, pp.1-9, Janvier 2010 A high efficiency differential 60GHz VCO in a 65 IEEE Microwave and Wireless Components Letters, nm CMOS technology for WSN applications Vol.21, N°6, pp.314-316, Juin 2011 A multilevel polymer process for direct Microsystems Technologies, Vol.18, N°2, pp.175encapsulation of fluids in microfluidic systems 182, Janvier 2012 Novel reconfigurable defected ground structure IEEE Transactions on Antennas and Propagation, resonator on coplanar waveguide Vol.58, N°11, pp.3622-3628, Novembre 2010 Terahertz antenna based on graphene Journal of Applied Physics, Vol.107, pp.104313-104313-3, Novembre 2010 N°10, Quantum lifetimes and momentum relaxation of Philisophical Magazine, Vol.91, N°4, pp.628-639, electrons and holes in Février 2011 Ga0.7In0.3N0.015As0.985/GaAs quantum wells Grid-based SCT approach for the global Journal of Applied Computational Electromagnetics electromagnetic simulation and design of finite- Society, Vol.25, N°11, 10p., Novembre 2010 size and thick dichroïc plate Liquid RF MEMS variable inductor Procedia Engineering, Vol.5, pp.1380-1383, Décembre 2010 Variable RF MEMS fluidic inductor incorporating Micro Nano Letters, lamination process Décembre 2010 Vol.5, N°6, pp.370-373, Double-wall carbon nanotube-based polymer International Journal of Microwave and Wireless composites for electromagnetic protection Technologies, Vol.2, N°5, pp.487-495, Décembre 2010 S.PACCHINI, E.FLAHAUT, DC and radio-frequency transmission International Journal of Microwave and Wireless N.FABRE, V.CONEDERA, characteristics of double wall carbon nanotubes Technologies, Vol.2, N°5, pp.471-477, Décembre F.MESNILGRENTE, F.COCCETTI, based ink 2010 M.DRAGOMAN, R.PLANA A.BOUNOUH, F.BLARD, Development of electromechanical architectures Sensors & Transducers Journal, Vol.123, N°12, pp.1H.CAMON, D.BELIERES for ac voltage metrology 15, Décembre 2010 A.AVOINE, C.VION, Photonic crystal cavity modes in the visible Physical Review A, Vol.82, N°6, pp.063809-1J.LAVERDANT, S.BONNEFONT, range characterized by scattering spectroscopy 063809-7, Juin 2010 O.GAUTHIER-LAFAYE, L.COOLEN, A.MAITRE G.ALMUNEAU, M.CONDE, High reflectivity monolithic sub-wavelength Journal of Optics, Vol.13, N°1, 015505p., Janvier O.GAUTHIER-LAFAYE, diffraction grating with GaAs/AlOx stack 2011 V.BARDINAL, C.FONTAINE I.EL GMATI, P.F.CALMON, Fabrication and evaluation of on chip liquid Journal of Micromechanics and Microengineering, A.BOUKABACHE, P.PONS, micro-variable inductor Vol.21, N°2, 025018p., Février 2011 R.FULCRAND, S.PINON, H.BOUSSETTA, M.A.KALLALA, KBESBES M.OLSZACKI, C.MAJ, M.AL Experimental verification of temperature Journal of Micromechanics and Microengineering, BAHRI, J.C.MARROT, coefficients of resistance for uniformly doped P- Vol.20, N°6, 064008p., Juin 2010 A.BOUKABACHE, P.PONS, type resistors in SOI A.NAPIERALSKI M. M.JATLAOUI, 3D heterogeneous integration of wireless Proceedings of SPIE. Advanced Topics in D.DRAGOMIRESCU, communicating nano-sensors on flexible Optoelectronics Microelectronics and S.CHARLOT, P.PONS, H.AUBERT, substrate Nanotechnologies IV , Vol.7821, 78211Ep., R.PLANA Septembre 2010 D.DRAGOMIRESCU, 60GHz wireless nano-sensors network for Proceedings of SPIE. Advanced Topics in M.KRAEMER, M. M.JATLAOUI, structure health monitoring as enabler for safer, Optoelectronics Microelectronics and P.PONS, H.AUBERT, A.THAIN, greener aircrafts Nanotechnologies IV , Vol.7821, 78215p., R.PLANA Septembre 2010 M.KRAEMER, Design of a very low-power, low-cost 60GHz International Journal of Microwave and Wireless D.DRAGOMIRESCU, R.PLANA receiver front-end implemented in 65 nm CMOS Technologies, Vol.3, N°2, pp.131-138, Mai 2011 technology Scientific Production — 135 RVSI10871 M.DRAGOMAN, D.NECULOIU, Graphene for microwaves D.DRAGOMAN, G.DELIGEORGIS, G.KONSTANTINIDIS, A.CISMARU, F.COCCETTI, R.PLANA RVSI10873 A.MULLER, D.NECULOIU, G.KONSTANTINIDIS, G.DELIGEORGIS, A.DINESCU, A.STAVRINIDIS, A.CISMARU, M.DRAGOMAN, A.STEFANESCU C.VILLENEUVE-FAURE, P.PONS, V.PUYAL, R.PLANA A.BROUE, J.DHENNIN, F.COURTADE, C.DIEPPEDALE, P.PONS, X.LAFONTAN, R.PLANA A.LARRUE, D.BELHARET, P.DUBREUIL, S.BONNEFONT, O.GAUTHIER-LAFAYE, A.MONMAYRANT, F.LOZESDUPUY, S.MOUMDJI Y.LAAROUSSI, G.ALMUNEAU, D.SANCHEZ, L.CERUTTI RVSI10943 RVSI10950 RVSI11015 RVSI11157 RVSI11163 RVSI11166 RVSI11209 RVSI11226 RVSI11233 F.CHOUCHANE, G.ALMUNEAU, O.GAUTHIER-LAFAYE, A.MONMAYRANT, A.ARNOULT, G.LACOSTE, C.FONTAINE J.VERDIER, I.BURCIU, G.VILLEMAUD, F.HUTU R.BOSSUYT, L.MAZENQ, V.CONEDERA, J.BALLET, A.M.GUE, J.P. CANO, H.CAMON A.L.FEHREMBACH, K.CHAN SHIN YU, A.MONMAYRANT, P.ARGUEL, A.SENTENAC, O.GAUTHIER-LAFAYE E. B.TCHIKAYA, F.KHALIL, F.TAHIR, H.AUBERT RVSI11236 F.TAHIR RVSI11251 F.TAHIR, H.AUBERT RVSI11265 RVSI11266 RVSI11285 RVSI11286 RVSI11287 RVSI11305 RVSI11351 RVSI11376 RVSI11381 IEEE Microwave Magazine, Vol.11, N°7, pp.81-86, Décembre 2010 SAW devices manufactured on GaN/Si for IEEE Electron Device Letters, Vol.31, N°12, pp.1398frequencies beyond 5 GHz 1400, Décembre 2010 Planarization optimization of RF-MEMS switches with a gold membrane Characterization of Au/Au, Au/Ru and Ru/Ru ohmic contacts in MEMS switches improved by a novel methodology Inductively coupled plasma etching of high aspect ratio two-dimensional photonic crystals in Al-rich AlGaAs and AlGaAsSb Journal of Micromechanics and Microengineering, Vol.20, N°6, 064013p., Juin 2010 Journal of Micro/Nanolithography, MEMS, and MOEMS, Vol.9, N°4, pp.041102-1-041102-8, Septembre 2010 Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol.29, N°2, pp.02006-1-021006-7, Mars 2011 Efficient lateral confinement by oxide aperture in mid-infrared GaSb-based vertical emitting light source Observation of overstrain in the coalescence zone of AlAs/AlOx oxidation fronts Journal of Physics D: Applied Physics, Vol.44, N°14, 142001p., Avril 2011 Design and measurements of a RF front-end for low power bi-band simultaneous reception A multilevel polymer process for liquid direct encapsulation of fluids in microfluidic systems Microelectronics Journal, Vol.45, N°1, pp.43-49, Janvier 2014 Microsystem Technologies, Vol.18, N°2, pp.175-182, Février 2012 Applied Physics Letters, Vol.98, N°26, 261921p., Juin 2011 Tunable, polarization independent, narrow-band Optics Letters, Vol.36, N°9, pp.1662-1664, Mai 2011 filtering with one-dimensional crossed resonant gratings Multi-scale approach for the electromagnetic simulation of finite size and thick frequency selective surfaces Scattering analysis of periodic microstrip reflectarrays using scale changing technique Progress In Electromagnetics Research M, Vol.17, pp.43-57, Février 2011 Annual Review of Progress in Applied Computational Electromagnetics, pp.611-622, Mars 2011 Electromagnetic modeling of microstrip International Journal of Numerical Modelling: reflectarrays using scale changing technique Electronic Networks, Devices and Fields, Vol.26, N°3, pp.205-224, Mai 2013 N.J.G.FONSECA, A.ALI Cancellation of beam squint with frequency in IEEE Antennas and Propagation Magazine, Vol.54, MOHAMED ALI, H.AUBERT serial beam forming network fed linear array N°1, pp.32-39, Février 2012 antennas A.ALI MOHAMED ALI, H.BADR EL Compact wideband double-layer half-mode IET Electronics Letters, Vol.47, N°10, pp.598-599, DIN EL SHAARAWY, H.AUBERT substrate integrated waveguide 90° coupler Mai 2011 U.ZAGHLOUL HEIBA, G.J.PAPAIOANNOU, H.WANG, B.BHUSHAN, F.COCCETTI, P.PONS, R.PLANA U.ZAGHLOUL HEIBA, B.BHUSHAN, P.PONS, G.J.PAPAIOANNOU, F.COCCETTI, R.PLANA U.ZAGHLOUL HEIBA, B.BHUSHAN, P.PONS, G.J.PAPAIOANNOU, F.COCCETTI, R.PLANA H.AUBERT E.LEYNIA DE LA JARRIGE, L.ESCOTTE, E.GONNEAU, J.M.GOUTOULE S.HEBIB, N.J.G.FONSECA, H.AUBERT Nanoscale characterization of the dielectric charging phenomenon in PECVD silicon nitride thin films with various interfacial structures based on Kelvin probe force microscopy On the influence of environment gases, relative humidity and gas purification on dielectric charging/discharging processes in electrostatically driven MEMS/NEMS devices Nanoscale characterization of different stiction mechanisms in electrostatically driven MEMS devices based on adhesion and friction measurements RFID technology for human implant devices Nanotechnology, Vol.22, N°20, 205708p., Mai 2011 Nanotechnology, Vol.22, N°3, 035705p., Janvier 2011 Journal of Colloid and Interface Science, Vol.358, N°1, pp.1-13, Juin 2011 Comptes rendus à l'Académie des Sciences. Special issue on nanosciences/nanotechnologies, 18p., Juin 2011 L-band radiometer design for long-term stability International Journal of Microwave and Wireless measurement of one-port devices Technologies, Vol.4, N°1, pp.119-126, Février 2012 Compact printed quadrifilar helical antenna with IEEE Antennas and Wireless Propagation Letters, iso-flux shaped pattern and high cross- Vol.10, pp.635-638, Juillet 2011 polarization discimination V.BARDINAL, T.CAMPS, B.REIG, Collective micro-optics technologies for VCSEL Advances in Optical Technologies, Vol.2011, D.BARAT, E.DARAN, J.B.DOUCET photonic integration N°paper ID 609643, 11p., Novembre 2011 Scientific Production — 136 RVSI11427 O.LLOPIS, P.H.MERRER, Phase noise measurement of a narrow linewidth Optics Letters, Vol.36, N°14, pp.2713-2715, Juillet H.BRAHIMI, K.SALEH, P.LACROIX CW laser using delay lines approaches 2011 RVSI11457 M. M.JATLAOUI, F.CHEBILA, P.PONS, H.AUBERT C.TRIGONA, N. DUMAS, P.PONS, L.LATORRE, P.NOUET T.BELUCH, F.PERGET, J.HENAUT, D.DRAGOMIRESCU, R.PLANA T.BELUCH, D.DRAGOMIRESCU, V.PUYAL, R.PLANA A.BALOCCHI, Q.H.DUONG, P.RENUCCI, B.L.LIU, C.FONTAINE, T.AMAND, D.LAGARDE, X.MARIE A.LARRUE, J.CAMPOS, O.GAUTHIER-LAFAYE, A.MONMAYRANT, S.BONNEFONT, F.LOZES-DUPUY Working principle description of the wireless passive EM transduction pressure sensor Design of smart drivers for electrostatic MEMS switches Mostly digital wireless ultrawide band communication architecture for software defined radio Low power digital TTL command for 1bit 60GHz RF MEMS phase shifter Full electrical control of the electron spin relaxation in GaAs quantum wells M. M.JATLAOUI, D.DRAGOMIRESCU, M.ERCOLI, M.KRAEMER, S.CHARLOT, P.PONS, H.AUBERT, R.PLANA U.ZAGHLOUL HEIBA, B.BHUSHAN, F.COCCETTI, P.PONS, R.PLANA Wireless communicating nodes at 60GHz integrated on flexible substrate for short distance instrumentation in aeronautics and space Kelvin probe force microscopy based characterization techniques applied for electrostatic MEMS and thin dielectric films to investigate the dielectric charging phenomenon Novel micromachined lumped band pass filter for 5.2 GHz WLAN applications RVSI11459 RVSI11463 RVSI11493 RVSI11499 RVSI11506 RVSI11511 RVSI11520 RVSI11523 RVSI11543 RVSI11544 RVSI11569 RVSI11645 RVSI11671 RVSI11689 RVSI11699 RVSI11723 RVSI11755 RVSI11782 RVSI11789 RVSI11795 European Physical Journal - Applied Physics, Vol.56, N°1, 13702p., Octobre 2011 Sensors and Actuators A: Physical, Vol.167, N°2, pp.422-432, Juin 2011 IEEE Microwave Magazine, Vol.13, N°1, pp.132138, Janvier 2012 Microelectronics Journal, Vol.42, N°12, pp.13211326, Décembre 2011 Physical Review Letters, Vol.107, N°13, 136604p., Septembre 2011 All photonic crystal DFB lasers robust toward IEEE Journal of Selected Topics in Quantum optical feedback Electronics, Vol.17, N°5, pp.1236-1241, Septembre 2011 International Journal of Microwave and Wireless Technologies, Vol.4, N°1, pp.109-117, Février 2012 Journal of Vacuum Science & Technology A: Vacuum Surfaces and Films , Vol.29, N°5, 051101p., Septembre 2011 A.MULLER, D.NECULOIU, International Journal of Electronics and A.CISMARU, P.PONS, R.PLANA, Communications D.DASCALU, A.MULLER , Vol.65, N°12, pp.1050-1053, Décembre 2011 U.ZAGHLOUL HEIBA, New insights into reliability of electrostatic International Journal of Microwave and Wireless G.J.PAPAIOANNOU, B.BHUSHAN, capacitive RF MEMS switches Technologies , Vol.3, N°Special issue 5, pp.571-586, F.COCCETTI, P.PONS, R.PLANA Septembre 2011 U.ZAGHLOUL HEIBA, Nanotribology-based novel characterization B.BHUSHAN, G.J.PAPAIOANNOU, techniques for the dielectric charging failure F.COCCETTI, P.PONS, R.PLANA mechanism in electrostatically actuated NEMS/MEMS devices using force–distance curve measurements I.DIKA, J-P.MALVAL, O.SOPPERA, Near-infrared photopolymerization: initiation V.BARDINAL, D.BARAT, process assisted by self-quenching and tripletC.TURCK, A.SPANGENBERG, triplet annihilation of excited cyanine dyes A.BRUYANT E.GONNEAU, L.ESCOTTE Low frequency noise sources and gain stability in microwave amplifiers for radiometry F.CONSTANTINESCU, Behavioral circuit models of power BAW M.NITESCU, A.G.GHEORGHE, resonators and filters A.FLOREA, O.LLOPIS S.ALOUI, N.DELAUNAY, A linear 60 GHz 65 nm-CMOS power amplifier E.KERHERVE, N.DELTIMPLE, realization and characterization for OFDM signal R.PLANA, D.BELOT O.LLOPIS, P.MARTINET, 50 GHz to 60 GHz local oscillator transmission P.H.MERRER, K.SALEH over fiber using optical frequency multiplication M. M.JATLAOUI, F.CHEBILA, Dispositif passif pour la mesure sans-fil de la P.PONS, H.AUBERT pression G.MONTI, L.CORCHIA, Broadband compact planar monopole L.TARRICONE, T.IDDA, F.COCCETTI, R.PLANA M.DRAGOMAN, D.NECULOIU, Coplanar waveguide on graphene in the range A.CISMARU, A.MULLER, 40MHz-110 GHz G.DELIGEORGIS, G.KONSTANTINIDIS, D.DRAGOMAN, R.PLANA A.ALI MOHAMED ALI, H.BADR EL Miniaturized hybrid ring coupler using DIN EL SHAARAWY, H.AUBERT electromagnetic bandgap loaded ridge substrate integrated waveguide U.ZAGHLOUL HEIBA, Effect of deposition reactive gas ratio, RF power G.J.PAPAIOANNOU, B.BHUSHAN, and substrate temperature on the H.WANG, F.COCCETTI, P.PONS, charging/discharging processes in PECVD R.PLANA silicon nitride films based on induced surface potential and adhesive force measurements using atomic force microscopy Scientific Production — 137 Journal of Colloid and Interface Science, Vol.365, N°1, pp.236-253, Janvier 2012, http://dx.doi.org/10.1016/j.jcis.2011.08.005 Chemical Physics Letters, Vol.515, N°1-3, pp.91-95, Octobre 2011 IEEE Transactions on Microwave Theory and Techniques, Vol.60, N°8, pp.2616-2621, Août 2012 Analog Integrated Circuits and Signal Processing, Vol.73, N°1, pp.57-64, Octobre 2012, DOI: 10.1007/s10470-011-9758-7 Analog Integrated Circuits and Signal Processing, Vol.70, N°2, pp.203-211, Février 2012, DOI: 10.1007/s10470-011-9772-9 Microwave and Optical Technology Letters, Vol.54, N°5, pp.1313-1316, Mai 2012 Instrumentation, Mesure, Métrologie, Vol.11, N°3-4, pp.67-88, Juillet 2011 Microwave and Optical Technology Letters, Vol.53, N°12, pp.2838-2842, Décembre 2011 Applied Physics Letters, Vol.99, N°3, 033112p., Juillet 2011 IEEE Microwave and Wireless Components Letters, Vol.21, N°9, pp.471-473, Septembre 2011 Journal of Microelectromechanical Systems, Vol.20, N°6, pp.1395-1418, Décembre 2011 RVSI11805 U.ZABIT, O.BERNAL, T.BOSCH, F.BONY RVSI11806 F.BOUYJOU, O.BERNAL, H.TAP, J.A.SAUVAUD R.S.MATHARU, J.PERCHOUX, R.KLIESE, Y.L.LIM, A.D.RAKIC D.BOURRIER, M.DILHAN, A.GHANNAM, L.OURAK, H.GRANIER H.LIU, D.LERAY, P.PONS, S.COLIN, A.BROUE, J.MARTEGOUTTE, C.DIEPPEDALE C.LECLERC, H.AUBERT, A.ALI MOHAMED ALI, A.ANNABI, M.ROMIER J.ARLANDIS, E.CENTENO, R.POLLES, A.MOREAU, J.CAMPOS, O.GAUTHIERLAFAYE, A.MONMAYRANT D.MEDHAT MOHAMED ABDEL MAKSOUD, A.TAKACS, H.AUBERT F.CHOUCHANE, J.B.DOUCET, A.ARNOULT, G.LACOSTE, C.FONTAINE, G.ALMUNEAU F.PENNEC, D.PEYROU, D.LERAY, P.PONS, R.PLANA, F.COURTADE RVSI11807 RVSI11857 RVSI11858 RVSI12022 RVSI12023 RVSI12025 RVSI12042 RVSI12044 RVSI12049 RVSI12050 RVSI12086 RVSI12220 RVSI12246 RVSI12256 J.RUAN, N.MONNEREAU, D.TREMOUILLES, N.MAURAN, F.COCCETTI, N.NOLHIER, R.PLANA K.SALEH, P.H.MERRER, O.LLOPIS, G.CIBIEL M.JAHJAH, S.MOUMDJI, O.GAUTHIER-LAFAYE, S.BONNEFONT, Y.ROUILLARD, A.VICET X.BUET, E.DARAN, D.BELHARET, F.LOZES-DUPUY, A.MONMAYRANT, O.GAUTHIERLAFAYE J.RUAN, D.TREMOUILLES, F.COCCETTI, N.NOLHIER, G.J.PAPAIOANNOU, R.PLANA L.ESCOTTE A MEMS accelerometer embedded in a selfmixing displacement sensor for parasitic vibration compensation A low power CMOS instrumentation chain for micro-channel plates in astrophysics Maintaining maximum SNR in uncooled VCSELbased self-mixing sensors BPN a new thick negative photoresist with high aspect ratio for MEMS applications Optics Letters, Vol.36, N°5, pp.612-614, Mars 2011 IEEE Sensors Journal, Vol.11, N°4, pp.1040-1045, Avril 2011 Optics Letters, Vol.36, N°18, pp.3690-3692, Septembre 2011 Microsystem Technologies, Vol.19, N°3, pp.419-423, Mars 2013 Validation of finite element structural simulation Procedia Engineering for ohmic microcontact Novembre 2011 , Vol.25, pp.419-422, The Close-form solution for symmetric butler Progress In Electromagnetics Research C, Vol.26, matrices pp.167-179, Janvier 2012 Mesoscopic self-collimation and slow light in all- Physical Review Letters, Vol.108, N°3, pp.037401-1positive index layered photonic crystals 037401-4, Janvier 2012 A methodology to study the electromagnetic behavior of a cryogenic metallic system used to control the ratchet effect A new approach of planar oxidation of buried AlxGa1–xAs/GaAs epitaxial structures for optical and electrical confinement applications Impact of the surface roughness description on the electrical contact resistance of ohmic switches under low actuation forces An accelerated stress test method for electrostatically driven MEMS devices Optical scattering noise in high Q fiber ring resonators and its effect on optoelectronic oscillator phase noise Antimonide-based 2.3 um photonic crystals coupled cavities lasers for CH4 QEPAS Progress In Electromagnetics Research M (PIER M), Vol.23, pp.123-137, Janvier 2012 Physica Status Solidi (c), Vol.9, N°2, pp.338-341, Février 2012 IEEE Transactions on Components, Packaging and Manufacturing Technology, Vol.2, N°1, pp.85-94, Janvier 2012 IEEE Transactions on Instrumentation and Measurement, pp.456-461, Février 2012, DOI : 10.1109/TIM.2011.2161937 Optics Letters, Vol.37, N°4, pp.518-520, Février 2012 Electronics Letters, Vol.48, N°5, pp.277-278, Mars 2012 High angular tolerance and reflectivity with Optics Express, Vol.20, N°8, pp.9322-9327, Avril narrow bandwidth cavity-resonator integrated 2012 guided-mode resonance filter Reliability assessment of electrostatically driven MEMS devices: based on a pulse-induced charging technique Charges froides actives pour le calibrage des radiomètres micro-ondes utilisés pour l'observation de la terre. Design of an ultra small passive Balun in CMOS 65nm technology for 60 GHz applications Journal of Micromechanics and Microengineering, Vol.22, N°4, 045016p., Avril 2012 Revue des Sciences et de la Technologie, Synthèse, N°24, pp.6-20, Avril 2012 RVSI12262 M.ERCOLI, D.DRAGOMIRESCU, R.PLANA RVSI12282 T.GAO , P.S.ELDRIDGE, Polariton condensate transistor switch T.C.H.LIEW, S.I.TSINTZOS, G.STAVRINIDIS, G.DELIGEORGIS, Z.HATZOPOULOS, P.G.SAVVIDIS Physical Review B, Vol.85, N°23, 235102p., Juin 2012 RVSI12294 W.KHUNSIN, A.AMANN, G.KOCHER-OBERLEHNER, S.G.ROMANOV, S.PULTEAP, HC.SEAT, E.P.O'REILLY, R.ZENTEL, C.M.SOTOMAYOR TORRES P.CRISTOFOLINI, G.CHRISTMANN, S.I.TSINTZOS, G.DELIGEORGIS, G.KONSTANTINIDIS, Z.HATZOPOULOS, P.G.SAVVIDIS, J.J.BAUMBERG L.CAMPAGNOLO, S.ROMAN, J.PERCHOUX, S.LORTHOIS Advanced Functional Materials, pp.1812-1821, Mai 2012 RVSI12297 RVSI12347 Noise-assisted crystallization of opal films Analog Integrated Circuits and Signal Processing, 12p., Juin 2012, DOI 10.1007/s10470-012-9873-0 Vol.22, N°9, Coupling quantum tunneling with cavity photons Science, Vol.336, N°6082, pp.704-707, Mai 2012 A new optical feedback interferometer for Computer Methods in Biomechanics and Biomedical measuring red blood cell velocity distributions in Engineering, Supplément 1, Vol.15, pp.104-105, individual capillaries: a feasibility study in Septembre 2012 microchannels Scientific Production — 138 RVSI12354 P.H.MERRER, K.SALEH, O.LLOPIS, S.BERNESCHI, F.COSI, G.NUNZI CONTI Characterization technique of optical whispering Applied Optics, Vol.51, N°20, pp.4742-4748, Juillet gallery mode resonators in the microwave 2012 frequency domain for optoelectronic oscillators RVSI12355 P.H.MERRER, O.LLOPIS, P.NICOLE, S.CONSTANT RVSI12357 G.VINCENZI, G.DELIGEORGIS, F.COCCETTI, M.DRAGOMAN, L.PIERANTONI, D.MENCARELLI, R.PLANA H.Q.YE, G.WANG, B.L.LIU, H.T.TIAN, W.X.WANG, C.FONTAINE, A.BALOCCHI, T.AMAND, D.LAGARDE, P.RENUCCI, X.MARIE B.LAMBERT, N.LABAT, D.CARISETTI, S.KARBOYAN, J.G.TARTARIN, J.THORPE, L.BRUNEL, A.CURUTCHET, N.MALBERT, E.ROMAIN-LATU, M.MERMOUX D.BARAT, V.BARDINAL, I.DIKA, O.SOPPERA, P.DEBERNARDI, A.RUMYANTSEVA, B.REIG, M.RENAULT, T.CAMPS, A.BRUYANT, J.B.DOUCET, JP.MALVAL, E.DARAN M.ERCOLI, D.DRAGOMIRESCU, R.PLANA T.T.THAI, M. M.JATLAOUI, F.CHEBILA, H.AUBERT, P.PONS, G.R.DEJEAN, E.TENTZERIS, R.PLANA T.BELUCH, D.DRAGOMIRESCU, R.PLANA Modeling and practical demonstration of multiple optical fiber ring resonators in the microwave domain Extending ballistic graphene FET lumped element models to diffusive devices RVSI12390 RVSI12396 RVSI12398 RVSI12403 RVSI12468 RVSI12476 RVSI12556 A.BOUNOUH, H.CAMON, D.BELIERES RVSI12574 N.TORRES, F.COCCETTI, B.REIG, C.DIEPPEDALE, H.SIBUET, C.BILLARD, F.DEBORGIES, J.L.CAZAUX N.TORRES, M.KAYNAK, F.COCCETTI, M.WIETSTRUCK, B.TILLACK, J.L.CAZAUX F.KERROUR, A.BOUKABACHE, P.PONS U.ZABIT, O.BERNAL, T.BOSCH RVSI12577 RVSI12591 RVSI12667 RVSI12677 RVSI12678 RVSI12703 RVSI12712 RVSI12715 RVSI12718 X.BUET, A.GUELMAMI, A.MONMAYRANT, S.CALVEZ, C.TOURTE, F.LOZES-DUPUY, O.GAUTHIER-LAFAYE M.ERCOLI, D.DRAGOMIRESCU, R.PLANA T.GUEROUT, T.MONTEIL, G.DA COSTA, R.NEVES CALHEIROS, R.BUYYA, M.ALEXANDRU K.SALEH, O.LLOPIS, G.CIBIEL Y.LAAROUSSI, D.SANCHEZ, L.CERUTTI, C.LEVALLOIS, C.PARANTHOEN, A.RUMEAU, C.TOURTE, G.ALMUNEAU M.DRAGOMAN, G.DELIGEORGIS, A.MULLER, A.CISMARU, D.NECULOIU, G.KONSTANTINIDIS, D.DRAGOMAN Microwave and Optical Technology Letters, Vol.54, N°7, pp.1552-1556, Juillet 2012 Solid State Electronics, Vol.76, pp.8-12, Octobre 2012 Growth direction dependence of the electron Applied Physics Letters, Vol.101, N°3, 032104p., spin dynamics in {111} GaAs quantum wells Juillet 2012 Evidence of relationship between mechanical Microelectronics Reliability, Vol.52, N°9-10, pp.2184stress and leakage current in AlGaN/GaN 2187, Septembre 2012 transistor after storage test Photo-chemical study and optical properties of Optics Express, Vol.20, N°20, pp.22922-22933, microtips self-written on vertical laser diodes Septembre 2012 using NIR photo-polymerisation Reduced size high performance transformer balun at 60GHz in CMOS 65nm technology Design and development of a novel passive wireless ultrasensitive RF temperature transducer for remote sensing Microelectronics Journal, Vol.43, N°11, pp.737-744, Novembre 2012 IEEE Sensors Journal, Vol.12, N°9, pp.2756-2766, Septembre 2012 A sub-nanosecond synchronized MAC-PHY Ad Hoc Networks, Vol.11, N°3, pp.833-845, Mai cross-layer design for wireless sensor networks 2013 Wideband high stability MEMS based voltage references AC IEEE Transactions on Instrumentation and Measurement, Vol.62, N°6, pp.1646-1651, Juin 2013, DOI 10.1109/TIM.2012.2225963 DC-50GHz RF-MEMS SPDT switch for high Microwave and Optical Technology Letters, Vol.55, reliability applications N°2, pp.333-335, Février 2013 Estimation of RF performance from LF measurements: towards the design for reliability in RF-MEMS Modelling of thermal behavior N-Doped silicon resistor Self-mixing laser sensor for large displacements: signal recovery in the presence of speckle Wavelength-stabilised external-cavity laser diode using cavity resonator integrated guided mode filter Microelectronics Reliability, Vol.52, N°9-10, pp.23102313, Octobre 2012 Journal of Sensor Technology, Vol.2, N°3, pp.132137, Septembre 2012 IEEE Sensors Journal, Vol.13, N°2, pp.824-831, Février 2013 Electronics Letters, Vol.48, N°25, pp.1619-1621, Décembre 2012 High Performances Local Oscillator Signal Progress in Electromagnetics Research C. Pier C, Driver for 60 GHz I\Q Systems in 65nm Cmos Vol.35, pp.111-122, Février 2013 Technology Energy-aware simulation with DVFS Simulation Modelling Practice and Theory, Vol.39, pp.76-91, Décembre 2013 Optical scattering induced noise in fiber ring Journal of Lightwave Technology, Vol.31, N°9, resonators and optical optoelectronic oscillators pp.1433-1446, Mai 2013 Oxide-confined mid-infrared VCSELs Electronics Letters, Vol.48, N°25, pp.1616-1618, Décembre 2012 Millimeter wave Schottky diode on graphene Journal of Applied Physics, 084302p., Octobre 2012 monolayer via asymmetric metal contacts Scientific Production — 139 RVSI12721 M.DRAGOMAN, D.NECULOIU, A.CISMARU, G.DELIGEORGIS, G.KONSTANTINIDIS, D.DRAGOMAN G.DELIGEORGIS, F.COCCETTI, G.KONSTANTINIDIS, R.PLANA R.TEYSSEYRE, F.BONY, J.PERCHOUX, T.BOSCH HC.SEAT, P.CHAWAH, M.CATTOEN, A.SOURICE, G.PLANTIER, F.BOUDIN, J.CHERY, C.BRUNET, P.BERNARD, M.SULEIMAN P.PONS, H.AUBERT, P.MENINI, E.TENTZERIS S.BOUAZIZ, F.CHEBILA, A.TRAILLE, P.PONS, H.AUBERT, E.TENTZERIS Graphene radio: Detecting radiowaves with a Applied Physics Letters, Vol.101, N°3, pp.033109-1single atom sheet 033109-4, Juillet 2012 RVSI12880 T.DJERAFI, H.AUBERT, K.WU RVSI13002 D.LEVASSEUR, H.BADR EL DIN EL SHAARAWY, S.PACCHINI, A.ROUSSEAU, S.PAYAN, G.GUEGAN, M.MAGLIONE L.CAMPAGNOLO, M.NIKOLIC, J.PERCHOUX, Y.L.LIM, K.BERTLING, K.LOUBIERE, L.PRAT, A.D.RAKIC, T.BOSCH S.MAZZUCATO, P.BOONPENG, Reduction of defect density by rapid thermal H.CARRERE, D.LAGARDE, annealing in Gaasbi studied by time-resolved A.ARNOULT, G.LACOSTE, photoluminescence T.T.ZHANG, A.BALOCCHI, T.AMAND, X.MARIE, C.FONTAINE RVSI12722 RVSI12738 RVSI12774 RVSI12811 RVSI12839 RVSI13003 RVSI13010 RVSI13046 RVSI13081 RVSI13088 RVSI13106 RVSI13129 A.TAKACS, H.AUBERT, D.BELOT, H.DIEZ S.NSELE, L.ESCOTTE, J.G.TARTARIN, S.PIOTROWICZ, S.L.DELAGE A.A.A.BAKAR, Y.L.LIM, S.WILSON, M.FUENTES, K.BERTLING, T.TAIMRE, T.BOSCH, A.D.RAKIC D.BARAT, V.BARDINAL, I.DIKA, O.SOPPERA, A.RUMYANTSEVA, B.REIG, M.RENAULT, A.BRUYANT, J.B.DOUCET, T.CAMPS, J-P.MALVAL, E.DARAN Y.QIU, W.LU, S.CALVEZ RVSI13195 T.T.THAI, H.AUBERT, P.PONS, E.TENTZERIS, G.R.DEJEAN RVSI13200 U.ZABIT, O.BERNAL, T.BOSCH RVSI13216 S.ALOUI, B.LEITE, N.DEMIREL, R.PLANA, D.BELOT, E.KERHERVE H.GORDILLO, I.SUAREZALVAREZ, R.ABARGUES, P.RODRIGUEZ-CANTO, G.ALMUNEAU, J.P.MARTINEZPASTOR E.GIL, Y.ANDRE, M.R.RAMDANI, C.FONTAINE, A.TRASSOUDAINE, D.CASTELLUCI S.MAZZUCATO, T.T.ZHANG, H.CARRERE, D.LAGARDE, P.BOONPENG, A.ARNOULT, G.LACOSTE, A.BALOCCHI, T.AMAND, C.FONTAINE, X.MARIE K.SALEH, P.H.MERRER, A.ALI SLIMANE, O.LLOPIS, G.CIBIEL RVSI13248 RVSI13280 RVSI13281 RVSI13322 Radio frequency signal detection by ballistic transport in Y-shaped graphene nanoribbons Laser dynamics in sawtooth-like self-mixing signals Dual-modulation fiber Fabry-Perot interferometer with double reflection for slowlyvarying displacements Applied Physics Letters, Vol.101, N°1, pp.013502-1013502-3, Juillet 2012 Optics Letters, Vol.37, N°18, pp.3771-3773, Septembre 2012 Optics Letters, Vol.37, N°14, pp.2886-2888, Juillet 2012 Electromagnetic transduction for wireless passive sensors Novel micro-fluidic structures for wireless passive temperature telemetry medical systems using radar interrogation techniques in Ka-band Procedia Engineering , Vol.47, pp.1474-1483, Novembre 2012 IEEE Antennas and Wireless Propagation Letters, Vol.11, pp.1706-1709, 2012 Ridge substrate integrated waveguide (RSIW) dual-band hybrid ring coupler Systematic investigation of the annealing temperature and composition effects on the dielectric properties of sol–gel BaxSr1−xTiO3 thin films Flow profile measurement in microchannel using the optical feedback interferometry sensing technique IEEE Microwave and Wireless Components Letters, Vol.22, N°2, pp.70-72, Février 2012 Journal of the European Ceramic Society, Vol.33, N°1, pp.139-146, Janvier 2013 Miniaturization of quadrifilar helical antenna: impact on efficiency and phase center position Broadband frequency dispersion small signal modeling of the output conductance and transconductance in AlInN/GaN HEMTs On the feasibility of self-mixing interferometer sensing for detection of the surface electrocardiographic signal using a customized electro-optic phase modulator Microlens self-writing on vertical laser diodes by near Infra-red photo-polymerization Quantum confinement stark effect of different GaInNAs quantum well structures Novel design of a highly sensitive RF strain transducer for passive and remote sensing in two dimensions Design and analysis of an embedded accelerometer coupled Self-Mixing laser displacement sensor High-gain and linear 60-GHz power amplifier with a thin digital 65-nm CMOS technology Microfluidics and Nanofluidics, Vol.14, N°1-2, pp.113119, Janvier 2013 Semiconductor Science and Technology, Vol.28, N°2, 022001p., Février 2013 IET Microwaves, Antennas & Propagation, Vol.7, N°3, pp.202-207, Février 2013 IEEE Transactions on Electron Devices, Vol.60, N°4, pp.1372-1378, Avril 2013 Physiological Measurement, Vol.34, N°2, pp.281289, Février 2013, DOI 10.1088/0967-3334/34/2/281 Microelectronic Engineering, Vol.111, pp.204-209, Novembre 2013 Advanced Materials Research, Vol.773, pp.622-627, Juillet 2013 IEEE Transactions on Microwave Theory and Techniques, Vol.61, N°3, pp.1385-1396, Mars 2013 Sensors, Vol.13, N°6, pp.2200-2207, Juin 2013 IEEE Transactions on Microwave Theory and Techniques, Vol.61, N°6, pp.2425-2437, Juin 2013 Quantum-dot double layer polymer waveguides Journal of Lightwave Technology, Vol.31, N°15, by evanescent light coupling pp.2515-2525, Juillet 2013 Record high-aspect-ratio GaAs nao-grating lines Journal of Crystal Growth, Vol.380, pp.93-98, grown by Hybride Vapor Phase Epitaxy (HVPE) Octobre 2013 Electron spin dynamics and g-factor in GaAsBi Applied Physics Letters, Vol.102, N°25, 252107p., Juin 2013 Study of the noise processes in microwave International Journal of Microwave and Wireless oscillators based on passive optical resonators Technologies , Vol.5, N°Special issue 3, Juin 2013 Scientific Production — 140 RVSI13324 J.W.D.CHI, A.FERNANDEZ , C.LU Properties of mode-locked optical pulses in a IEEE Journal of Quantum Electronics, Vol.49, N°1, dispersion-managed fiber-ring laser using pp.80-88, Janvier 2013 semiconductor optical amplifier as active device RVSI13350 J.MAXIN, G.PILLET, B.STEINHAUSSER, L.MORVAN, O.LLOPIS, D.DOLFI Y.LAAROUSSI, J.B.DOUCET, P.FADEL, L.CERUTTI, I.SUAREZALVAREZ, A.MLAYAH, G.ALMUNEAU Y.LAAROUSSI, C.CHEVALLIER, F.GENTY, N.FRESSENGEAS, L.CERUTTI, T.TALIERCIO, O.GAUTHIER-LAFAYE, P.F.CALMON, B.REIG, J.JACQUET, G.ALMUNEAU G.WANG, B.L.LIU, A.BALOCCHI, P.RENUCCI, C.R.ZHU, T.AMAND, C.FONTAINE, X.MARIE A.TAKACS, H.AUBERT, L.DESPOISSE, S.FREDON S.KARBOYAN, J.G.TARTARIN, M.RZIN, L.BRUNEL, A.CURUTCHET, N.MALBERT, N.LABAT, D.CARISETTI, B.LAMBERT, M.MERMOUX, E.ROMAIN-LATU, F.THOMAS, C.BOUEXIERE, C.MOREAU D.LEVASSEUR, E.BOUYSSOU, R.DE PAOLIS, A.ROUSSEAU, F.COCCETTI, G.GUEGAN, S.PAYAN, M.MAGLIONE O.BERNAL, U.ZABIT, T.BOSCH RVSI13371 RVSI13372 RVSI13388 RVSI13445 RVSI13499 RVSI13503 RVSI13507 RVSI13531 RVSI13533 RVSI13554 RVSI13617 RVSI13812 RVSI14019 RVSI14031 RVSI14069 RVSI14084 RVSI14086 Widely tunable opto-electronic oscillator based Journal of Lightwave Technology, Vol.31, N°17, on a dual-frequency laser pp.2919-2925, Septembre 2013 Method for improving the electrical insulating Applied Physics Letters, Vol.103, N°10, 101911p., properties of wet thermal oxide of AlAsSb on Septembre 2013 GaSb substrates Oxide confinement and high contrast grating Optical Materials Express, Vol.3, N°10, pp.1576mirrors for mid-infrared VCSELs 1585, Octobre 2013 Gate control of the electron spin-diffusion length Nature Communications, Vol.4, 2372p., Septembre in semiconductor quantum wells 2013 Microwave energy harvesting for satellite applications Influence of gate leakage current on AlGaN/GaN HEMTs evidenced by low frequency noise and pulsed electrical measurements Systematic tuning of the conduction Journal of Physics: Condensed Matter, Vol.25, N°49, mechanisms in ferroelectric thin films 495901p., Décembre 2013 Study of laser feedback phase under self-mixing leading to improved phase unwrapping for vibration sensing C.VILLENEUVE-FAURE, Kelvin force microscopy characterization of K.MAKASHEVA, C.BONAFOS, charging effect in thin a-SiOxNy:H layers B.DESPAX, L.BOUDOU , P.PONS, deposited in pulsed plasma enhanced chemical G.TEYSSEDRE vapordeposition process by tuning the Siliconenvironment H.AUBERT, F.CHEBILA, M. Wireless sensing and identification based on M.JATLAOUI, T.T.THAI, H.HALLIL, radar cross section variability measurement of A.TRAILLE, S.BOUAZIZ, A.RIFAI, passive electromagnetic sensors P.PONS, P.MENINI, E.TENTZERIS H.MAKHLOUFI, P.BOONPENG, S.MAZZUCATO, J.NICOLAI, A.ARNOULT, T.HUNGRIA, G.LACOSTE, C.GATEL, A.PONCHET, H.CARRERE, X.MARIE, C.FONTAINE A.GHANNAM, D.BOURRIER, L.OURAK, C.VIALLON, T.PARRA Electronics Letters, Vol.49, N°11, pp.722-724, Mai 2013 Microelectronics Reliability, Vol.53, N°9-11, pp.14911495, Septembre 2013 IEEE Sensors Journal, Vol.13, N°12, pp.4962-4971, Octobre 2013 Journal of Applied Physics, Vol.113, N°20, 204102p., Mai 2013 Annals of Telecommunications, pp.425-435, Août 2013 Vol.68, N°7-8, Molecular beam epitaxy and properties of Nanoscale Research Letters, Vol.9, N°1, 123p., Juin GaAsBi/GaAs quantum wells grown by 2014 molecular beam epitaxy. Effect of thermal annealing 3-D Multilayer Copper interconnects for high- IEEE Transactions on Components, Packaging and performance monolithic devices and pssives Manufacturing Technology, Vol.3, N°6, pp.935-942, Juin 2013 A.ALI MOHAMED ALI, H.BADR EL Millimeter-Wave Substrate Integrated IEEE Transactions on Antennas and Propagation, DIN EL SHAARAWY, H.AUBERT Waveguide Passive Van Atta Reflector Array Vol.61, N°3, pp.1465-1470, Mars 2013 O.BERNAL, U.ZABIT, T.BOSCH Classification of laser self-mixing interferometric Applied Optics, Vol.53, N°4, pp.702-708, Février signal under moderate feedback 2014 S.MAZZUCATO, H.LEHEC, Low-temperature photoluminescence study of Nanoscale Research Letters, Vol.9, N°1, 5p., Janvier H.CARRERE, H.MAKHLOUFI, exciton recombination in bulk GaAsBi 2014 A.ARNOULT, C.FONTAINE, T.AMAND, X.MARIE F.CHOUCHANE, H.MAKHLOUFI, Photoluminescence from InGaAs/GaAs Applied Physics Letters, Vol.104, N°6, 061912p., S.CALVEZ, C.FONTAINE, quantum well regrown on a buried patterned Février 2014 G.ALMUNEAU oxidized AlAs layer G.MAGNO, M.GRANDE, Controlled reflectivities in self-collimating Journal of the Optical Society of America. B, Optical A.MONMAYRANT, F.LOZESmesoscopic photonic crystal physics, Vol.31, N°2, pp.355-359, Février 2014 DUPUY, O.GAUTHIER-LAFAYE, G.CALO, V.PETRUZZELLI C.OZANAM, M.SAVANIER, Toward an AlGaAs/AlOx near-infrared integrated Journal of the Optical Society of America. B, Optical L.LANCO, X.LAFOSSE, optical parametric oscillator physics, Vol.31, N°3, pp.542-550, Mars 2014 G.ALMUNEAU, A.ANDRONICO, I.FAVERO, S.DUCCI, G.LEO Scientific Production — 141 RVSI14135 F.BOUYJOU, O.BERNAL, H.TAP, J.A.SAUVAUD, P.JEAN RVSI14188 C.LECLERC, M.ROMIER, H.AUBERT, A.ANNABI RVSI14221 I.EL GMATI, P.F.CALMON, A.BOUKABACHE, P.PONS, H.BOUSSETTA, M.A.KALLALA, KBESBES S.MAZZUCATO, H.LEHEC, H.CARRERE, H.MAKHLOUFI, A.ARNOULT, C.FONTAINE, T.AMAND, X.MARIE A.MAGNANI, M.BORGARINO, C.VIALLON, T.PARRA, G.JACQUEMOD A.TAKACS, H.AUBERT, S.FREDON, L.DESPOISSE, H.BLONDEAUX D.MENCARELLI, L.PIERANTONI, T.ROZZI, F.COCCETTI F.BANITORFIAN, F.ESHGHABADI, A.ABD MANAF, P.PONS, N.MOHD NOH, M.TAFIR MUSTAFFA, O.SIDEK J.LOMINE, C.MORLAAS, H.AUBERT RVSI14292 RVSI14359 RVSI14378 RVSI14399 RVSI14401 RVSI14402 [RVSN] Ref Low noise CMOS analog front-end circuit with an 8-bit 1-MS/s ADC for silicon sensors for space applications Ka-band multiple feed per beam focal array using interleaved couplers IEEE Transactions on Microwave Theory and Techniques, Vol.62, N°6, pp.1322-1329, Juin 2014, DOI 10.1109/TMTT.2014.2320697 RF MEMS continuous reversible variable Microsystem Technologies, Vol.20, N°6, pp.1085inductor based on a microfluidic network 1091, Juin 2014 Low-temperature photoluminescence study of Nanoscale Research Letters, Vol.9, N°19, 10p., exciton recombination in bulk GaAsBi Janvier 2014 A low power Ku phase locked oscillator in low Microelectronics Journal, Vol.45, N°6, pp.619-626, cost 130 nm CMOS technology Juin 2014 Microwave power harvesting for satellite health IEEE Transactions on Microwave Theory and monitoring Techniques, Vol.62, N°4, pp.1090-1098, Avril 2014 Nanoscale simulation of three-contact graphene ballistic junctions Evaluation and analysis of methods for fixed and variable MEMS inductors design Published in: Diodes laser tout cristal photonique dans la POLOQ. Groupe de prospective orienté sur les filière GaAs lasers et l'optique et la physique atomique, 8p., Janvier 2009 Tunable bandpass MEMS filters for millimeter MTA Review, Vol.XIX, N°4, pp.409-418, Décembre wave appications : design and results 2009 RVSN09761 A.TAKACS, D.NECULOIU, D.VASILACHE, A.MULLER, P.PONS, L.BARY, P.F.CALMON, H.AUBERT, R.PLANA RVSN10772 A.BOUNOUH, F.BLARD, Microcomposants électromécaniques pour la H.CAMON, D.BELIERES, F.ZIADE réalisation de références de tension en courant alternatif RVSN11675 F.CONSTANTINESCU, Artificial transmission line model for power BAW A.G.GHEORGHE, A.FLOREA, resonators with mechanical nonlinearity M.NITESCU, O.LLOPIS RVSN12300 P.ARGUEL Micro-interféromètre monolithique sur silicium pour applications en espace libre [RVSII] Ref Invited paper (journal) -‐international-‐ Authors Title RVSII11542 U.ZAGHLOUL HEIBA, On the reliability of electrostatic NEMS/MEMS G.J.PAPAIOANNOU, B.BHUSHAN, devices: Review of present knowledge on the F.COCCETTI, P.PONS, R.PLANA dielectric charging and stiction failure mechanisms and novel characterization methodologies RVSII11758 L.PIERANTONI, F.COCCETTI, Guest Editorial P.LUGLI, S.M.GOODNICK RVSII11759 L.PIERANTONI, F.COCCETTI Nanomaterials and Nanotechnology, 5p., Avril 2014, DOI: 10.5772/58547 Informacije MIDEM - Journal of Microelectronics, Electronic Components and Mat, Vol.44, N°2, pp.87103, Juin 2014 Novel vector sensors design with three co- Progress In Electromagnetics Research B, Vol.57, located or distributed elements for the 3D DOA pp.207-220, Janvier 2014 estimation Scientific Journals -‐national-‐ Authors Title RVSN09394 A.LARRUE, S.BONNEFONT, F.LOZES-DUPUY IEEE Sensors Journal, Vol.14, N°5, pp.1617-1624, Mai 2014 Microwave nanopackaging and interconnects Scientific Production — 142 Revue Française de Métrologie, Vol.2011-1, N°25, pp.17-29, Juillet 2011 Revue Roumaine des Sciences Techniques. Série Électrotechnique et Énergétique, Vol.56, N°2, pp.237-246, Décembre 2011 Photoniques, N°60, pp.42-45, Juillet 2012, DOI: http://dx.doi.org/10.1051/photon/20126042 Published in: Microelectronics Reliability, Vol.51, N°9-11, pp.18101818, Octobre 2011 IEEE Transactions on Microwave Theory and Techniques. Special Issue on radio-frequency nanoelectronics, Vol.59, N°10, pp.2566-2567, Octobre 2011 IEEE Microwave Magazine, Vol.12, N°7, 3p., Décembre 2011 NII theme: Nano Engineering and Integration Papers in collaboration with other themes Theme nb papers ref MNBT AFFI10349, CII10388, CII10993, CII11200, CII12114, CII13336, CII13456, 58 CIN11677, MAI09529, MAI10389, MAI10563, MAI10563, MAI11029, MAI11030, MAI11105, MAI11220, MAI11290, MAI12148, MAI12478, MAI12479, MAI12630, MAI12630, MAI14120, MAI14123, MAI14124, MAN10924, MAN13344, MSI09527, MSI10288, MSI10383, MSN09387, MSN09528, MSN10496, MSN10591, MSN10593, MSN13181, OPI10440, RVSI09378, RVSI09723, RVSI10044, RVSI10079, RVSI10333, RVSI10404, RVSI10441, RVSI10442, RVSI10563, RVSI10563, RVSI10799, RVSI11253, RVSI11256, RVSI11330, RVSI11381, RVSI12133, RVSI12398, RVSI12630, RVSI12630, RVSI13106, RVSN09398 HOPES CII10388, CII11550, CII12114, CIN11677, MAI09086, MAI09175, MAI09507, 58 MAI09594, MAI09773, MAI09844, MAI10156, MAI10161, MAI10314, MAI10428, MAI10469, MAI10563, MAI10563, MAI10648, MAI10648, MAI10679, MAI11088, MAI11109, MAI11198, MAI11290, MAI11397, MAI11456, MAI11525, MAI11525, MAI11788, MAI12203, MAI12278, MAI12478, MAN10924, MSI11525, MSI11525, MSN10593, RVSI09476, RVSI09506, RVSI09544, RVSI10079, RVSI10404, RVSI10545, RVSI10563, RVSI10563, RVSI10648, RVSI10648, RVSI10751, RVSI10752, RVSI10771, RVSI10788, RVSI10799, RVSI11209, RVSI11381, RVSI12398, RVSI12591, RVSI13106, RVSI14221, RVSN10772 IC CII11337, CII12665, MAI09066, MAI10173, MAI10651, MAI12279, MAI14174, 11 MAI14175, OPI12026, RVSI11540, RVSI11721 GE 35 DO Rob 3 12 CII10993, CIN09949, MAI09158, MAI09163, MAI09229, MAI09538, MAI09729, MAI09773, MAI09906, MAI09941, MAI10113, MAI10115, MAI10146, MAI10163, MAI10448, MAI10622, MAI10651, MAI10802, MAI10807, MAI11152, MAN08698, MAN09730, MAN10157, MSI09293, MSI09301, MSN13181, OPI10440, RVSI09320, RVSI09697, RVSI10534, RVSI11330, RVSI11646, RVSI12153, RVSI12812, RVSN10024 MAI13366, MAI13367, MAI13370 MAI09255, MAI09843, MAI09883, MAI10161, MAI10886, MAI11263, MAI11657, MAI12420, MAI12714, MAI13639, OPI11032, RVSI10545 Paper summary for NII theme AFFI Poster -‐international-‐ Invited paper (conference) -‐international-‐ CII Invited paper (conference) -‐national-‐ CIN Conferences with published proceedings -‐international-‐ MAI Conferences with published proceedings -‐national-‐ MAN Conferences without proceedings -‐international-‐ MSI Conferences without proceedings -‐national-‐ MSN Books (author) -‐national-‐ OAN Books (contribution) -‐international-‐ OPI Books (contribution) -‐national-‐ OPN Scientific Journals -‐international-‐ RVSI Scientific Journals -‐national-‐ RVSN Invited paper (journal) -‐international-‐ RVSII Scientific Production — 143 1 27 6 161 21 29 31 1 7 1 128 10 2 NII Theme publications [AFFI] Poster -‐international-‐ Ref Authors Title Published in: AFFI10349 F.SEVERAC, A.BANCAUD, DNA directed self-assembling of Al-CuO Gordon Research Conferences: Energetic Materials, C.ROSSI, A.ESTEVE, M.DJAFARI nanothermite composite: toward multifunctional Tilton (USA), 13-18 Juin 2010, 1p. (Résumé) ROUHANI, J.M.DUCERE energetic layers [CII] Ref Invited paper (conference) -‐international-‐ Authors Title CII09967 C.ROSSI, M.PETRANTONI, H.PEZOUS, V.CONEDERA CII10388 V.BARDINAL, B.REIG, T.CAMPS, D.BARAT, E.DARAN, J.B.DOUCET, C.TURCK, JP.MALVAL, D-J.LOUGNOT, O.SOPPERA C.ROSSI, H.DUROU, B.JAMMES, Micro and Nano Power Systems Overview of Southeast Asian International Advances in A.RAMOND, I.ZAHI, LAAS activities Micro/nanotechnology Workshop 2010 du 08 mars A.HEMERYCK, A.ESTEVE, au 11 mars 2010, Bangkok (Thailande), Mars 2010 M.DJAFARI ROUHANI, F.SEVERAC, M.PETRANTONI, J.M.DUCERE, L.ASSOUERE, M.BAFLEUR, M.BRUNET, J.M.DILHAC CII10993 CII10994 CII10995 CII11046 CII11075 CII11200 CII11337 CII11550 CII11647 CII12062 CII12114 CII12158 CII12160 CII12161 Published in: A new area in MEMS through integration of International Conference on Computational & nano energetical materials Experimental Engineering and Sciences ( ICCS ) 2009 du 08 avril au 13 avril 2013, Phuket (Thailand), Avril 2013 Micro-optics on VCSELs using NIR SPIE Photonics Europe, Bruxelles (Belgique), 12-16 photopolymers Avril 2010, 12p. C.ROSSI NanoEnergetics: Toward high Energy and Pwer Conference on Energing Trends in Materials micro source Simulations and Experiments 2010 du 24 mars au 26 mars 2010, Los Angeles (USA), Juin 2010 C.ROSSI Micro and Nanoenergetic material Gordon Research Conference on Energetic Material 2010 du 13 juin au 18 juin 2010, Tilton (USA), Juin 2010 A.ESTEVE, C.ROSSI, M.DJAFARI Multiscale modelling issues in nanoenergetic MRS Fall Meeting 2012 du 25 novembre au 30 ROUHANI, J.M.DUCERE, materials engineering novembre 2012, Boston (USA), Novembre 2012, 1p. C.LANTHONY, M.PETRANTONI M.BRUT, A.ESTEVE, M.DJAFARI Static mode method for the treatment of E-MRS Spring Meeting, 9-13 Mai 2011, 1p. ROUHANI, G.LANDA, D.ESTEVE biomolecular flexibility an application to biointegrated materials C.ROSSI, M.PETRANTONI, Multifunctional nano-energetical material on chip International Workshop on Pyrotechnic Combustion M.M.BAHRAMI, G.TATON, mechanisms (WPC 2011), Reims (France), 16-19 F.SEVERAC, A.BERBER, Mai 2011, 3p. A.ESTEVE, A.BANCAUD G.AURIOL, C.BARON, V.SHUKLA, Design and simulations of wireless sensors WSEAS International Conference on J.Y.FOURNIOLS networks in a long range aircraft Communications, Corfu (Grèce), 14-17 Juillet 2011, pp.117-124 P.JOSEPH, A.ALLOUCH, Towards two-phase nanofluidics: model French-Chinese Symposium on Microfluidics (FCSM K.BOURNINE, A.HAMOUMI, nanopores and bubble crystals for optics 2011), Dalian (Chine), 30 Octobre - 3 Novembre A.MONMAYRANT, O.GAUTHIER2011, 2p. LAFAYE, S.GEOFFROY, A.M.GUE C.ROSSI, M.M.BAHRAMI, Recent advances in nanolaminate energetic International Conference on Metallurgical Coatings G.TATON, A.ESTEVE, J.KWON, materials and Thin Films (ICMCTF 2012), San Diago (USA), Y.J.CHABAL 23-27 Avril 2012, 2p. M.BRUT, A.ESTEVE, In silico evaluation of aptamer functionalization E-MRS Spring Meeting 2012, Strasbourg (France), A.BANCAUD, D.ESTEVE, for integration through the static mode approach 14-18 Mai 2012, 1p. G.LANDA, M.DJAFARI ROUHANI V.BARDINAL, T.CAMPS, B.REIG, VCSEL beam control with collective and self SPIE Photonics West, San Francisco (USA), 21-26 P.DEBERNARDI, O.SOPPERA, aligned polymer technologies Janvier 2012, 9p. D.BARAT, J.B.DOUCET, E.DARAN A.ESTEVE, M.BRUT, M.DJAFARI Designing excitations for understanding and Conference on Materials Genome: Simulations, ROUHANI, G.LANDA, manipulating biomolecules: a static mode Synthesis, Characterization and Manufacturing, Los A.HEMERYCK, C.ROSSI, approach Angeles (USA), 4-6 Avril 2012, 29p. A.BANCAUD C.LANTHONY, J.M.DUCERE, Nanostructured energetic materials: fabrication NanoSpain Conference 2012, Santander (Espagne), M.M.BAHRAMI, G.TATON, process and atomic scale modeling 27 Février - 1 Mars 2012, 1p. A.HEMERYCK, C.ROSSI, A.ESTEVE, G.LANDA, M.DJAFARI ROUHANI C.ROSSI, G.TATON, Tayloring Al/CuO reactive nanocomposite by Conference on Materials Genome: Simulations, M.M.BAHRAMI, A.ESTEVE, controlling the interface chemistry and assembly Synthesis, Characterization and Manufacturing, Los A.BANCAUD method Angeles (USA), 4-6 Avril 2012, 16p. Scientific Production — 144 CII12332 A.BANCAUD CII12665 Z.AQACHMAR, P.ACCO, J.Y.FOURNIOLS, G.AURIOL, C.ESCRIBA A.ESTEVE, A.HEMERYCK, M.DJAFARI ROUHANI, C.LANTHONY, J.M.DUCERE, D.DJAFARI ROUHANI, C.ROSSI, Y.J.CHABAL H.RANCHON, J.LACROIX, Q.HE, Nanotechnologies for entire genome analysis: International Conference from Nanoparticles and Y.VIERO, G.KAKLAMANI, single molecule manipulation & size separation Nanomaterials to Nanodevices and Nanosystems ( C.AFATSAWO, A.BANCAUD in confinement environments IC4N ) 2013 du 16 juin au 20 juin 2013, Corfu (Grèce), Juin 2013, 35p. J.MATHON, H.HAJJOUL, Investigation of chromosome statics and Exploration & Search Workshop 2013 du 03 juin au H.RANCHON, A.BANCAUD, dynamics in living yeast: what can we derive 08 juin 2013, Cargèse (France), Juin 2013, 24p. K.BYSTRICKY, O.GADAL, from polymer physics? P.CARRIVAIN, J.MOZZICONACCI, J.M.VICTOR V.BARDINAL, T.CAMPS, B.REIG, Polymer optical MEMS integrated on VCSELs Optical MEMS and Nanophotonics ( OMN ) 2013 du J.B.DOUCET, S.ABADA, for biosensing 18 août au 22 août 2013, Kanazawa (Japon), Août E.DARAN 2013, 2p. V.BARDINAL, T.CAMPS, Polymer microoptics for VCSEL beam control Microoptics Conference ( MOC ) 2013 du 27 S.ABADA, B.REIG, J.B.DOUCET, octobre au 30 octobre 2013, Tokyo (Japon), Octobre E.DARAN 2013, 2p. A.HEMERYCK, A.ESTEVE, Modeling of nanolaminated reactive material: a Reactive Multilayers Foils. Bridging the gap from C.LANTHONY, C.ROSSI, hyperthermal kinetic Monte Carlo scheme to Thermodynamics, Modelling and Experiments ( M.DJAFARI ROUHANI deal with energetic motion of atoms CECAM Workshop ) 2013 du 08 juillet au 10 juillet 2013, Lausanne (Suisse), Juillet 2013, 1p. (Résumé) CII13099 CII13237 CII13238 CII13336 CII13456 CII13696 Relevance of a fractal model for nuclear Search and Exploration, Cargèse (France), 25-30 organization and its implications for DNA Avril 2012, 1p. transactions Why don't we use free 868 MHz band for IEEE Convention of Electrical & Electronics geolocation? Engineers in Israel ( IEEEI ) 2012 du 14 novembre au 17 novembre 2012, Eilat (Israel), 2012, 3p. Modelling surface/interface chemical processes ACS National Meeting 2013 du 08 septembre au 12 in reactive nanolaminate materials: linking first septembre 2013, Indianapolis (USA), Septembre principles calculations, Kinetic Monte Carlo and 2013, 1p. determination of hyperthermal trajectories CII13763 C.ROSSI, G.TATON, M.M.BAHRAMI, L.GLAVIER, V.CONEDERA, L.SALVAGNAC Technology of reactive composite nanomaterial Reactive Multilayers Foils. Bridging the gap from Thermodynamics, Modelling and Experiments ( CECAM Workshop ) 2013 du 08 juillet au 10 juillet 2013, Lausanne (Suisse), Juillet 2013 In silico experiments on biomolecules through E-MRS Fall Meeting 2013 du 16 septembre au 20 the static modes: an efficient approach to predict septembre 2013, Warsow (Pologne), Septembre biomechanical response and design functions 2013, 1p. (Résumé) CII13782 M.BRUT, A.ESTEVE, M.DJAFARI ROUHANI CII14110 A.HEMERYCK, J.M.DUCERE, DNA interactions with surfaces of interest in Simulation of biomolecular interactions with T.CALAIS, S.RUPICH, A.ESTEVE, nanotechnologies: a first principle study inorganic and organic surfaces as a challenge for M.DJAFARI ROUHANI, C.ROSSI, future nanotechnologies 2014 du 24 mars au 26 Y.J.CHABAL mars 2014, Toulouse (France), Mars 2014, 1p. [CIN] Ref Invited paper (conference) -‐national-‐ Authors Title CIN09949 CIN10971 D.PECH, H.DUROU, P.HUANG, M.BRUNET, P.L.TABERNA, P.SIMON, N.FABRE, F.MESNILGRENTE, V.CONEDERA A.BANCAUD CIN10972 A.BANCAUD CIN11613 A.BANCAUD CIN11677 CIN13443 V.BARDINAL, B.REIG, D.BARAT, T.CAMPS, E.DARAN, J.B.DOUCET J.Y.FOURNIOLS [MAI] Ref Conferences with published proceedings -‐international-‐ Authors Title Published in: MAI09003 A.M.GUE, G.SZIRBIK, D.MOUSSA A microfluidic device for peptides/proteins RAGUEH, G.PAUMIER, purification based on stimuli-responsive F.NEPVEU, J.SUDOR stationnary phase 5th International Conference on Microtechnologies in Medicine and Biololy (MMB 2009), Quebec City (Canada), 1-3 Avril 2009, 2p. Elaboration et intégration supercondensateurs pour le l'énergie embarquée Published in: de micro- Journées Nationales du GDR Micro et Nano stockage de Systèmes (GDR MNS), Besançon (France), 18-20 Novembre 2009, 12p. Technologies Micro- et Nano- fluidiques pour la manipulation de nanoparticules et de biomolécules Réalisation de substrats micro et nanostructurés pour la microscopie optique en 3D et la manipulation de biomolécules Journées Nano Micro et Optoélectronique (JNMO 2010), Les Issambres (France), 28 Septembre - 1 Octobre 2010, 31p. Ecole Thématique Interndisciplinaire en MIcroscopie FOnctionnelle en BIOlogie (MiFoBio 2010), Seignosse (France), 19-25 Septembre 2010, 1p. (Résumé) Nouvelles technologies pour la manipulation Septièmes Rencontres de Figeac, Figeac (France), d'ADN et de fibres de chromatine, vers des 17-21 Septembre 2011, 1p. (Résumé) systèmes d'analyse épigénétique ? Micro-optique intégrée dur diodes laser HORIZONS de l'Optique, Marseille (France), 4-7 verticales Juillet 2011, 2p. Your home and you: sensors network toward a Power Autonomous Communicating Objects ( PACO smart collaborative health monitoring ) 2013 du 24 octobre au 25 octobre 2013, supervision Gardanne (France), Octobre 2013, 1p. Scientific Production — 145 MAI09066 MAI09086 MAI09158 MAI09163 P.ESTEBAN, J.C.PASCAL, D.ESTEVE Une méthodologie de conception produit basée 8ème Congrès International de Génie Industriel sur la norme EIA-632 (CIGI 2009), Bagnères de Bigorre (France), 10-12 Juin 2009, 8p. N.NASREDDINE, J.L.BOIZARD, VHDL-AMS behavioral models for the simulation 6th International Multi Conference on Systems, J.Y.FOURNIOLS, J.HENAUT, of wireless sensors networks Signals and Devices (SSD'09) D.DRAGOMIRESCU, International Conference on Sensors, Circuits and A.COUSTOU Instrumentation Systems (SCI), Djerba (Tunisie), 2326 Mars 2009, pp.214-216 T.CAMPS, B.MARTY, J.TASSELLI, Thermal management integration for Symposium on Design, Test, Integration & A.MARTY, D.LAGRANGE microfluidics applications Packaging of MEMS/MOEMS (DTIP'2009), Rome (Italie), 1-3 Avril 2009, 6p. B.MARTY, T.CAMPS, J.TASSELLI, New polysilicon sensor and actuator technology The 15th International Conference on Solid-State A.MARTY, D.LAGRANGE for the dévelopment of a thermal plateform Sensors, Actuators and Microsystems (Transducers 2009), Denver (USA), 21-25 Juin 2009, 4p. MAI09175 F.BLARD, A.BOUNOUH, MEMS multi-physics approach design and H.CAMON, D.BELIERES, F.ZIADE fabrication for electrical metrology applications MAI09229 C.VANHECKE, L.ASSOUERE, M.BAFLEUR, J.M.DILHAC, C.ROSSI M.DEVY, M.IBARRA MANZANO, J.L.BOIZARD, P.LACROIX, W.FILALI, J.Y.FOURNIOLS N.NASREDDINE, J.L.BOIZARD, C.ESCRIBA, J.Y.FOURNIOLS Convertisseur à faible consommation pour la récupération d'énergie ambiante combinant deux sources pour application aéronautique Integrated subsystem for obstacle detection from a belt of micro-cameras J.CRATTELET, A.BOUKABACHE, L.AURET, L.FILLAUDEAU, D.ESTEVE J.CRATTELET, L.AURET, P.DEBREYNE, A.BOUKABACHE, D.ESTEVE, L.FILLAUDEAU A.HEMERYCK, M.PETRANTONI, A.ESTEVE, C.ROSSI, M.DJAFARI ROUHANI, G.LANDA, D.ESTEVE M.MATMAT, F.COCCETTI, A.MARTY, R.PLANA, C.ESCRIBA, J.Y.FOURNIOLS, D.ESTEVE Fouling measurement using a thermal-based microsystem 20th MicroMechanics Europe workshop (MME 2009), Toulouse (France), 20-22 Septembre 2009 Investigation of steady and unsteady thermal regimes to monitor fouling in industrial processes A mesoscopic model of the intermixing during nanoenergetic materials processing 8th World Congress of Chemical Engineering, Montréal (Canada), 23-27 Août 2009, 6p. Capacitive RF MEMS analytical predictive reliability 20th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2009), Arcachon (France), 5-9 Octobre 2009, pp.1304-1308 E-MRS Spring Meeting 2009, Strasbourg (France), 812 Juin 2009, 1p. (Résumé) MAI09255 MAI09376 MAI09435 MAI09455 MAI09481 MAI09507 MAI09529 MAI09538 J.M.DUCERE, A.HEMERYCK, CO and CO2 detection by SnO2: a DFT study A.ESTEVE, M.DJAFARI ROUHANI, G.LANDA, C.TROPIS, P.MENINI, A.MAISONNAT, P.FAU, B.CHAUDRET F.RACHEDI, J.AUBIN, R.GUILET, Microreactor for catalytic oxidation of VOC: P.COGNET, A.MARTY, J.TASSELLI characterisation abnd efficiency MAI09594 S.SOULIMANE, S.PINON, H.CAMON MAI09659 M.PETRANTONI, C.ROSSI, V.CONEDERA, D.BOURRIER, C.TENAILLEAU, P.ALPHONSE, H.PEZOUS, X.DOLLAT, F.MATHIEU A.RAMOND, G.A.ARDILA RODRIGUEZ, H.DUROU, B.JAMMES, C.ROSSI J.M.DILHAC, M.BAFLEUR, J.Y.FOURNIOLS, C.ESCRIBA, R.PLANA, D.DRAGOMIRESCU, L.ASSOUERE, P.PONS, H.AUBERT, C. BUCHHEIT M.ROUSSEAU, M.A.JAUD, P.LEDUC, A.FARCY, A.MARTY MAI09729 MAI09773 MAI09780 MAI09843 MAI09844 Synthesizable VHDL models for the simulation of a wireless sensors network Symposium on Design, Test, Integration & Packaging of MEMS/MOEMS (DTIP'2009), Rome (Italie), 1-3 Avril 2009, pp.214-218 8ème journées d'étude Faible Tension Faible Consommation (FTFC 2009), Neuchatel (Suisse), 35 Juin 2009, 6p. 14th International Conference on Advanced Robotics (ICAR 2009), Munich (Allemagne), 22-26 Juin 2009, 6p. 9th International Workshop on Electronics, Control, Modelling, Measurement and Signals (ECMS 2009), Mondragon (Espagne), 8-10 Juillet 2009, 6p. E-MRS Spring Meeting 2009, Strasbourg (France), 812 Juin 2009, 17p. 2nd Euuropean Process Intensification Conference, Venise (Italie), 14-17 Juin 2009 (Résumé) Two dimensional modeling of dielectric elastomer micro-actuator using finite element method Synthesis process of nanostructured energetic material Al/CuO: nanowires on substrate 20th MicroMechanics Europe workshop (MME 2009), Toulouse (France), 20-22 Septembre 2009, 4p. E-MRS 2009, Strasbourg (France), 8-12 Juin 2009 A sido buck converter with ultra low power mppt scheme for optimized vibration energy harvesting and management Cross-functional design of wireless sensor networks applied to Aircraft Health Monitoring PowerMEMS 2009, Washington (USA), 1-4 Décembre 2009, 4p. Impact of substrate coupling induced by 3D-IC architecture on advanced CMOS technology International Workshop on Structural Health Monitoring, Stanford (USA), 9-11 Septembre 2009, pp.901-908 17th European Microelectronics and Packaging Conference, EMPC 2009, Rimini (Italie), 14-17 Juin 2009, 5p. M.IBARRA MANZANO, M.DEVY, An efficient reconfigurable architecture to International Conference on Field Programmable J.L.BOIZARD, P.LACROIX, implement dense stereo vision algorithm using Logic and Applications (FPL 2009), Prague J.Y.FOURNIOLS high-level synthesis (République Tchèque), 31 Août - 3 Septembre 2009, pp.444-447 A.BOUNOUH, F.BLARD, Microsystems for electrical AC voltage metrology IMEKO World Congress on Fundamental and H.CAMON, D.BELIERES, F.ZIADE Applied Metrology, Lisbonne (Portugal), 6-11 Septembre 2009, pp.844-848 Scientific Production — 146 MAI09883 M.IBARRA MANZANO, M.DEVY, J.L.BOIZARD, P.LACROIX, W.FILALI, J.Y.FOURNIOLS MAI09906 P.SIMON, D.PECH, H.DUROU, M.BRUNET, P.L.TABERNA, Y.GOGOTSI Q.HE, A.BANCAUD MAI09916 Obstacle avoidance by a multi-cameras system Manifestations avec actes, 9th International Workshop on Electronics, Control, Modelling, Measurement and Signals (ECMS 2009), Mondragon (Espagne), 8-10 Juillet 2009, 6p. Nanostructured materials for capacitors MRS Spring Meeting, San Francisco (USA), 13-17 Avril 2009, 1p. (Résumé) MAI09935 R.FULCRAND, L.SALVAGNAC, A.BOUKABACHE, A.M.GUE Micropatterned poly-acrylamid gels for colloids self assembly and bio-assays A polymer multilevel microfluidic module for vertical magnetic sorting MAI09941 N.JEMAI, S.CHARLOT, J.TASSELLI, J.Y.FOURNIOLS Lead-free solder paste printing optimization for fine pitch wafer bumping MAI10075 J.CRATTELET, A.BOUKABACHE, Micro-capteur pour la mesure en ligne et en L.SALVAGNAC, D.ESTEVE, continu de l'encrassement dans les procédés L.FILLAUDEAU, L.AURET industriels et de traitement de l'eau : Réalisation d'un micro-capteur intégré sur silicium MAI10113 G.AURIOL, C.BARON, J.M.DILHAC, M.BAFLEUR, J.Y.FOURNIOLS G.AURIOL, C.BARON, J.M.DILHAC, J.Y.FOURNIOLS E.CAMPO, S.BONHOMME, M.CHAN, D.ESTEVE MAI10115 MAI10124 MAI10125 MAI10144 E.CAMPO, M.CHAN, W.BOURENNANE, D.ESTEVE E.CAMPO, M.CHAN, S.BONHOMME, D.ESTEVE MAI10145 M.CHAN, E.CAMPO, W.BOURENNANE, D.ESTEVE MAI10146 C.ESCRIBA, H.BOUKABACHE, S.KSOURI, M.LASTAPIS, J.L.BOIZARD, T.CAMPS, J.M.DILHAC, S.ROLET, J.Y.FOURNIOLS I.EL GMATI, R.FULCRAND, P.F.CALMON, A.BOUKABACHE, P.PONS, H.BOUSSETTA, M.A.KALLALA, KBESBES C.CASENAVE, G.MONTSENY, H.CAMON, F.BLARD MAI10156 MAI10161 MAI10163 MAI10173 MAI10242 Lab work for the power-oriented design of a wireless sensor network IEEE Engineering Education (EDUCON 2010), Madrid (Espagne), 14-16 Avril 2010, pp.1853-1857 International Conference on e-health networking, Application & Services (Healthcom'10), Lyon (France), 1-3 Juillet 2010, pp.226-230 Remote monitoring platforms for prevention and E-MediSys 2010, Fes (Maroc), 12-14 Mai 2010, 6p. detection of elderly deviant behaviour Smart ambient systems for health monitoring at International Symposium on Ambient Intelligence home (ISAmI 2010), Guimaraes (Portugal), 16-18 Juin 2010, pp.39-46 Connectivity for the indoor and outdoor elderly European Symposium on Biomedical Engineering people safety management: an example from (ESBME 2010), Chalkidiki (Grece), 28-29 Mai 2010, our current project 4p. Sensor network for non destructive control of European Workshop on Structural Health Monitoring aeronautics structures: piezo or accelerometer (EWSHM 2010), Sorrento (Italie), 29 Juin - 2 Juillet diagnosis ? 2010, pp.388-393 RF MEMS fluidic variable inductor International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTSI 2010), Hammamet (Tunisie), 23-25 Mars 2010, 3p. Identification of dynamic nonlinear thermal transfers for precise correction of bias induced by temperature variations Comparison of GaN-based MOS structures with different interfacial layer treatments Symposium on Design, Test, Integration & Packaging of MEMS/MOEMS (DTIP 2010), Séville (Espagne), 5-7 Mai 2010, pp.376-381 International Conference on Microelectronics (MIEL 2010), Nis (Serbie), 16-19 Mai 2010, pp.459-462 E.AL ALAM, I.CORTES, M.P.BESLAND, P.REGRENY, A.GOULLET, F.MORANCHO, A.CAZARRE, Y.CORDIER, K.ISOIRD M.LASTAPIS, C.ESCRIBA, Blade recorder microsystem design and Y.GRONDIN, G.AURIOL, P.AMAT, validation for aeronautical health monitoring S.ANDRIEU, J.STRAK, J.L.BOIZARD, J.Y.FOURNIOLS M.CHAN, E.CAMPO, D.ESTEVE Elderly daily activities habits or lifestyle in their natural environments E.CAMPO, M.CHAN, W.BOURENNANE, D.ESTEVE Behaviour monitoring of the elderly by trajectories analysis MAI10314 A.ALLOUCH, P.JOSEPH, D.BOURRIER, A.MONMAYRANT, O.GAUTHIER-LAFAYE, P.ARGUEL, A.M.GUE B.REIG, T.CAMPS, D.BOURRIER, E.DARAN, C.VERGNENEGRE, V.BARDINAL N.NASREDDINE, J.L.BOIZARD, J.Y.FOURNIOLS Liquid-gas microfluidic devices for optical applications MAI10400 International Power Electronics Conference (IPEC 2010), Sapporo (Japon), 21-24 Juin 2010, 5p. Design lab work in telecom - Definition, design and test of a wireless sensor network Remote tracking patients in retirement home using wireless multisensor system MAI10264 MAI10389 Nanobiotech Montreux 2009, Montreux (Suisse), 1618 Novembre 2009, 1p. (Résumé) International Conference for Chemistry and Life Sciences (MicroTAS 2009), Jeju (Corée), 1-5 Novembre 2009, 3p. International Conference on Electronic Materials and Packaging (EMAP 2009), Penang (Malaisie), 1-3 Décembre 2009, 9p. Colloque Interdisciplinaire en Instrumentation (C2I 2010), Le Mans (France), Février 2010, 8p. European Workshop on Structural Health Monitoring (EWSHM 2010), Sorrento (Italie), 29 Juin - 2 Juillet 2010, pp.100-105 International Conference on PEvasive Technologies Related to Assistive Environments (PETRA 2011), Crète (Grèce), 25-27 Mai 2011, 4p. Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC 2010), Buenos Aires (Argentine), 31 Août 4 Septembre 2010, pp.2230-2233 European Conference on Microfluidics (MicroFlu 2010), Toulouse (France), 8-10 Décembre 2010, 8p. Design of active lens for VCSEL collimation SPIE Photonics Europe, Bruxelles (Belgique), 12-16 Avril 2010, 8p. Transmission channel behavioral model for a wireless sensors network simulator IEEE International Conference on Electronics Circuits and Systems (ICECS 2010), Athènes (Grèce), 12-15 Décembre 2010, pp.924-927 Scientific Production — 147 MAI10401 N.NASREDDINE, J.L.BOIZARD, C.ESCRIBA, J.Y.FOURNIOLS Wireless sensors networks emulator implemented on a FPGA MAI10428 I.EL GMATI, P.F.CALMON, A.BOUKABACHE, P.PONS, H.BOUSSETTA, M.A.KALLALA, KBESBES A.RAMOND, M.SANCHEZ, K.LI, H.DUROU, B.JAMMES, C.ROSSI Liquid RF MEMS variable inductor MAI10448 MAI10469 MAI10512 MAI10521 I.EL GMATI, P.F.CALMON, R.FULCRAND, S.PINON, A.BOUKABACHE, P.PONS, M.A.KALLALA P.JOSEPH, V.N.PHAN, P.DUBREUIL, P.ABGRALL, A.M.GUE, N-T. NGUYEN Y.VIERO, Q.HE, L.MAZENQ, D.BELHARET, A.BANCAUD MAI10526 Y.VIERO, Q.HE, L.MAZENQ, D.BELHARET, A.BANCAUD MAI10563 M.MATMAT, K.KOUKOS, F.COCCETTI, T.IDDA, A.MARTY, C.ESCRIBA, J.Y.FOURNIOLS, D.ESTEVE H.DUROU, G.A.ARDILA RODRIGUEZ, A.RAMOND, X.DOLLAT, C.ROSSI, D.ESTEVE R.BOSSUYT, L.MAZENQ, V.CONEDERA, J.BALLET, A.M.GUE, J.P. CANO, H.CAMON M.LASTAPIS, C.ESCRIBA, G.AURIOL, E.ALBU, P.BERTHOU, J.L.BOIZARD, J.M.DILHAC, J.Y.FOURNIOLS R.FULCRAND, A.M.GUE, V.CONEDERA, A.BOUKABACHE MAI10622 MAI10648 MAI10651 MAI10658 MAI10679 MAI10720 MAI10738 MAI10787 MAI10789 MAI10790 MAI10802 MAI10806 MAI10807 MAI10809 MAI10886 F.BLARD, H.CAMON, A.BOUNOUH, D.BELIERES International Conference on Field-Programmable Technology (FPT'10), Beijing (Chine), 8-10 Décembre 2010, pp.279-282 Eurosensors XXIV, Linz (Autriche), 5-8 Septembre 2010, 2p. A single inductor DIDO converter with ultra low power MPPT and thin film LIPON battery for piezoelectric energy harvesting and management Fluidic variable inductor using SU8 channel PowerMEMS 2010, Louvain (Belgique), 30 Novembre - 3 Décembre 2010, 4p. Closed-end nanochannels: model platform for nanofluidic flows International Conference for Chemistry and Life Sciences (MicroTAS 2010), Groningen (Pays Bas), 37 Octobre 2010, pp.1856-1858 European Conference on Microfluidics (MicroFlu 2010), Toulouse (France), 8-10 Décembre 2010, 3p. Large-scale fabrication of nanostructures using PDMS-based phase shift lithography, and application to nanofluidics Nanofluidics for single DNA and chromosome manipulation Micromechanics and Micro systems Europe Workshop (MME'10), Enschende (Pays Bas), 26-29 Septembre 2010, 4p. European Conference on Microfluidics (MicroFlu 2010), Toulouse (France), 8-10 Décembre 2010, 1p. Life expectancy and characterization of capacitive RF MEMS switches European Symposium on the Reliability of Electron Devices Failure Physics and Analysis (ESREF 2010), Gaeta (Italie), 11-15 Octobre 2010, 6p. Micromachined bulk PZT piezoelectric vibration harverster to improve effectiveness over low amplitude and low frequency vibrations A multilevel polymer process for direct encapsulation of fluids in microfluidic systems PowerMEMS 2010, Louvain (Belgique), 30 Novembre - 3 décembre 2010, 4p. Embedded blade microsystem and events recorder for drone structural health monitoring Asia Pacific Workshop on Structural Health Monitoring (APWSHM 2010), Tokyo (Japon), 30 Novembre - 2 Décembre 2010, 9p. Etude et développement d'un actionneur magnétique intégré dans un microsystème dédié à des applications biologiques Very high stability achievement in MEMS based AC voltage references Journées Maghreb-Europe, Tabarka (Tunisie), 20-22 Octobre 2010, 1p. (Résumé) European Conference on Microfluidics (MicroFlu 2010), Toulouse (France), 8-10 Décembre 2010, 7p. IEEE International Conference on Micro Electro Mechanical Systems (MEMS 2011), Cancun (Mexique), 23-27 Janvier 2011, 4p. S.AL ATTAR, A.BOUKABACHE, Innovative 3D polymer packaging of CI 5èmes Journées Franco-Espagnoles IBERNAMD.ESTEVE, V.CONEDERA, CMC2, Barcelone (Espagne), 25-26 Novembre P.COUDERC 2010, 1p. M.PETRANTONI, M.M.BAHRAMI, Nanoenergetics on a chip: technology and PowerMEMS 2010, Louvain (Belgique), 30 L.SALVAGNAC, V.CONEDERA, application for micro ignition in safe arm and fire Novembre - 3 Décembre 2010, 4p. C.ROSSI, P.ALPHONSE, systems C.TENAILLEAU H.BOUKABACHE, S.KSOURI, Piezoelectric sensor/actuator network for SHM Asia Pacific Workshop on Structural Health C.ESCRIBA, J.Y.FOURNIOLS and damage detection on airplane door Monitoring (APWSHM 2010), Tokyo (Japon), 30 Novembre - 2 Décembre 2010, 9p. P.ABGRALL, P.JOSEPH, Golden nanofluidics: a generic microEuropean Conference on Microfluidics (MicroFlu R.BURGER, S.CHARLOT, /nanofluidic platform with tunable surface 2010), Toulouse (France), 8-10 Décembre 2010, 7p. C.ESCRIBA, M.MAZAS, chemistry S.PINAUD, A.M.GUE, J.DUCREE P.JOSEPH, V.N.PHAN, Closed-end nanochannels: model platform for European Conference on Microfluidics (MicroFlu D.BOURRIER, P.ABGRALL, nanofluidic flows 2010), Toulouse (France), 8-10 Décembre 2010, 5p. A.M.GUE, N-T. NGUYEN M.BRUNET, D.PECH, H.DUROU, Ultra high power carbon-based microInternational Workshop on Power Supply On Chip P.HUANG, V.MOCHALIN, supercapacitors (PWRSOC'10), Cork (Irelande), 13-15 Octobre Y.GOGOTSI, P.L.TABERNA, 2010, 1p. (Résumé) P.SIMON R.INGLES BORT, J.PALLARES, Electro-thermal simulation and characterization Eurosensors XXIV, Linz (Autriche), 5-8 Septembre I.GRACIA, A.M.GUE, of preconcentration membranes 2010, 2p. C.PHILIPPE, R.GUILET, Efficiency of a catalytic microreactor for volatile European Conference on Microfluidics (MicroFlu P.COGNET, J.TASSELLI, organic compounds oxidation 2010), Toulouse (France), 8-10 Décembre 2010, 8p. A.MARTY R.INGLES BORT, J.PALLARES, Electro-thermal simulations to improve heater International Workshop on THERMal INvestigations I.GRACIA, A.M.GUE, design in preconcentration membranes of ICs and Systems (THERMINICS 2010), Barcelone J.L.RAMIREZ (Espagne), 6-8 Octobre 2010, 3p. M.IBARRA MANZANO, M.DEVY, Real-time classification based on color and Conference on Design and Architectures for Signal J.L.BOIZARD texture attributes on a FPGA-based architecture and Image Processing (DASIP 2010), Edimbourg (UK), 26-28 Octobre 2010, 8p. Scientific Production — 148 MAI11002 MAI11003 MAI11029 MAI11030 MAI11036 MAI11052 MAI11088 MAI11105 MAI11109 MAI11110 C.MASTAIL, N.RICHARD, A.ESTEVE, A.HEMERYCK, M.DJAFARI ROUHANI C.MASTAIL, A.ESTEVE, M.DJAFARI ROUHANI, S.OLIVIER, J.M.DUCERE, N.RICHARD A.TRAPAIDZE, A.BANCAUD, A.ESTEVE, F.SEVERAC, D.ESTEVE, A.M.GUE A.BERBER, F.SEVERAC, A.BANCAUD, C.ROSSI, A.ESTEVE, P.ALPHONSE J.M.DUCERE, A.HEMERYCK, M.PETRANTONI, A.ESTEVE, G.LANDA, C.ROSSI, M.DJAFARI ROUHANI C.LANTHONY, J.M.DUCERE, A.ESTEVE, C.ROSSI, M.DJAFARI ROUHANI S.MIAS, A.BANCAUD, H.CAMON S.SALOMON, T.LEICHLE, R.FULCRAND, D.BOURRIER, A.BOUKABACHE, A.M.GUE, L.NICU R.BOSSUYT, L.MAZENQ, V.CONEDERA, J.BALLET, A.M.GUE, J.P. CANO, H.CAMON W.BOURENNANE, Y.CHARLON, M.CHAN, D.ESTEVE, E.CAMPO SiO2 nucleation onto Si(100) surface; a first principal study E-MRS 2011 Spring Meeting, Nice (France), 9-13 Janvier 2011, 1p. (Résumé) Micro to nanoscale modelling and simulation of the atomic layer deposition of Hafnium Oxide E-MRS 2011 Spring Meeting, Nice (France), 9-13 Janvier 2011, 1p. (Résumé) Two aptamer based hydrodynamic assay for protein detection E-MRS Spring Meeting 2011, Nice (France), 9-13 Mai 2011, 1p. DNA directed self-assembly of Al and CuO nanoParticles on chip for nanopower source E-MRS Spring Meeting 2011, Nice (France), 9-13 Mai 2011, 1p. Multiscale modeling of intermixing in Al/Ni multilayered materials E-MRS Spring Meeting 2011, Nice (France), 9-13 Mai 2011, 1p. Basic mechanisms of Al/CuO bilayer films formation: a theoretical study E-MRS Spring Meeting 2011, Nice (France), 9-13 Mai 2011, 1p. Large area adaptive fluidic lens Symposium on Design Test Integration & Packaging of MEMS/MOEMS (DTIP 2011), Aix en Provence (France), 11-13 Mai 2011, pp.241-244 A simple fabrication process for an efficient Conference on Advances in Microfluidics and constriction-based dielectrophoretic continuous Nanofluidics and Asian-Pacific International flow sorter Symposium on Lab on Chip (AMN-APLOC 2011), Singapour (Singapour), 5-7 Janvier 2011, 2p. A multilevel polymer process for liquid direct Symposium on Design Test Integration & Packaging encapsulation for opto-fluidic application of MEMS/MOEMS (DTIP 2011), Aix en Provence (France), 11-13 Mai 2011, pp.249-252 Integration of wearable device with actimetry International Conference on Wearable Micro and system for monitoring alzheimer's patients Nano Technologies for Personalised Health (pHealth 2011), Lyon (France), 29 Juin - 1 Juillet 2011, 4p. MAI11111 J.LU, A.VAN DEN BOSSCHE, E.CAMPO An adaptive and distributed collision-free MAC protocol for wireless personnal area networks MAI11147 M.PETRANTONI, J.KWON, J.F.VEYAN, J.M.DUCERE, M.M.BAHRAMI, Y.J.CHABAL, A.ESTEVE, C.ROSSI R.MONTHEARD, C.ESCRIBA, J.Y.FOURNIOLS, M.LASTAPIS, J.PRUNET, M.BAFLEUR, J.M.DILHAC F.BLARD, A.BOUNOUH, D.BELIERES, S.CHARLOT, D.BOURRIER, H.CAMON G.TATON, M.M.BAHRAMI, V.CONEDERA, D.LAGRANGE, C.ROSSI H.HAJJOUL, J.MATHON, A.BANCAUD, I.GOIFFON, K.BYSTRICKY I.DUFOUR, A.MAALI, Y.AMAROUCHENE, C.AYELA, B.CAILLARD, A.DARWICHE, M.GUIRARDEL, H.KELLAY, E.LEMAIRE, F.MATHIEU, C.PELLET, D.SAYA, M.YOUSSRY, L.NICU, A.COLIN Development of ADL-based alumina diffusion barrier in nano laminate energetic materials MAI11152 MAI11198 MAI11201 MAI11207 MAI11220 MAI11225 S.CHARLOT, J.Y.FOURNIOLS, C.ESCRIBA MAI11244 M.LASTAPIS, C.ESCRIBA, J.Y.FOURNIOLS MAI11263 MAI11268 International Symposium on Intelligent Systems Techniques for Ad hoc and Wireless Sensor Networks (IST-AWSN 11), Niagara Falls (Canada), 19-21 Septembre 2011, 7p. International Conference on Atomic Layer Deposition (ALD 2011), Cambridge (USA), 26-29 Juin 2011, pp.236-237 Wireless and batteryless accelerometry for aircraft structural health monitoring International Workshop on Structural Health Monitoring (IWSHM 2011), Stanford (USA), 13-15 Septembre 2011, pp.471-478 Development of a new technological MEMS process for AC voltage standards SPIE Microtechnologies, Prague (République Tchèque), 18-20 Avril 2011, Vol.80-66, 9p. Effect of stochiometry, size and diffusion barrier on performances of multilayered Al-CuO nano thermite on chip 3D microscopy of chromatin movements in living yeasts reveal the physical parameters that govern its dynamics The microcantilever: a versatile tool for measuring fluid properties EUROPYRO 2011, Reims (France), 16-19 Mai 2011, 2p. 3D commercial off-the shelf component integration in thin flexible substrate by SAC screen printing Micro/Nano Electronics Packaging and Assembly Design and Manufacturing Forum (MiNaPAD Forum 2011), Grenoble (France), 11-12 Mai 2011, 5p. Algorithms to monitor damaging events on a plane blade with an autonomous embeded microsystem W.FILALI, D.BOTERO GALEANO, SOPC components for real time image M.DEVY, J.L.BOIZARD processing: rectification, distortion correction and homography N.FOURTY, Y.CHARLON, Energy efficient embedded wireless system E.CAMPO used for localisation in indoor environments Scientific Production — 149 Annual Meeting - Biophysical Society, Baltimore (USA), 5-9 Mars 2011, 1p. (Résumé) International Workshop on Nanomechanical Sensing (NMC 2011), Dublin (Irelande), 11-13 Mai 2011, 2p. International Workshop on Structural Health Monitoring (IWSHM 2011), Stanford (USA), 13-15 Septembre 2011, pp.487-492 IEEE Workshop on Electronics, Control, Measurement and Signals (ECMS 2011), Liberec (République Tchèque), 1-3 Juin 2011, 6p. International Conference on Sensor Device Technologies and Applications (SENSORDEVICES 2011), Nice (France), 21-27 Août 2011, 6p. MAI11272 MAI11277 MAI11290 MAI11324 MAI11328 MAI11346 MAI11366 MAI11397 MAI11456 MAI11469 MAI11483 MAI11525 MAI11657 MAI11788 MAI12063 MAI12083 MAI12105 MAI12148 MAI12179 MAI12195 MAI12196 MAI12203 J.LU, A.VAN DEN BOSSCHE, E.CAMPO A new beacon scheduling mechanism for mesh wireless personal area networks based on IEEE 802.15.4 S.KSOURI, M.MATMAT, Damage detection composite laminates H.BOUKABACHE, C.ESCRIBA, aeronautics structures through accelerometers J.Y.FOURNIOLS network B.REIG, T.CAMPS, V.BARDINAL, Polymer MEMS for the active control of VCSEL D.BARAT, E.DARAN, J.B.DOUCET beam M.PETRANTONI, A.ESTEVE, C.ROSSI, M.M.BAHRAMI, L.SALVAGNAC, V.CONEDERA, C.TENAILLEAU, P.ALPHONSE, J.KWON, J.F.VEYAN, Y.J.CHABAL N.NASREDDINE, J.L.BOIZARD, J.Y.FOURNIOLS Atomic layer deposition of Al2O3 as diffusion barrier in AlCuO multilayered nanothermite: process and characterization of its influence on the Al/CuO reaction H.BOUKABACHE, M.MATMAT, S.KSOURI, C.ESCRIBA, J.Y.FOURNIOLS S.PINON, D.L.DIEUDHOU, A.M.GUE, N.FABRE, G.PRIGENT, V.CONEDERA, E.RIUS, C.QUENDO, B.POTELON, J.F.FEVENNEC, A.BOUKABACHE A.ALLOUCH, P.JOSEPH, A.MONMAYRANT, O.GAUTHIERLAFAYE, P.ARGUEL, F.LOZESDUPUY, S.GEOFFROY, A.M.GUE S.SOULIMANE, S.PINON, W.P.SHIH, H.CAMON Piezoelectric wafer active sensor network for aircraft structures damage localisation: pitchcatch method Fully integrated microsystem using microfluidic devices to tune or reconfigure RF circuits M.MATMAT, H.BOUKABACHE, A.MARTY, D.ESTEVE, C.ESCRIBA, J.Y.FOURNIOLS H.BOUKABACHE, M.MATMAT, C.ESCRIBA, J.Y.FOURNIOLS A.ALLOUCH, K.BOURNINE, P.JOSEPH, S.GEOFFROY, A.BOUCHIER, A.MONMAYRANT, O.GAUTHIER-LAFAYE, F.LOZESDUPUY, A.M.GUE D.BOTERO GALEANO, M.DEVY, J.L.BOIZARD, W.FILALI A.TRAILLE, S.BOUAZIZ, S.PINON, P.PONS, H.AUBERT, A.BOUKABACHE, E.TENTZERIS M.BRUT, A.ESTEVE, G.LANDA, M.DJAFARI ROUHANI S.AL ATTAR, A.BOUKABACHE, D.ESTEVE, J.Y.FOURNIOLS, V.CONEDERA, P.COUDERC S.PINON, D.L.DIEDHIOU, A.BOUKABACHE, V.CONEDERA, D.BOURRIER, A.M.GUE, G.PRIGENT, E.RIUS, C.QUENDO, B.POTELON, J.F.FEVENNEC B.REIG, V.BARDINAL, T.CAMPS, Y.BOUCHER, C.LEVALLOIS, J.B.DOUCET, D.BOURRIER, E.DARAN, J.LAUNAY V.PICOT, B.ALIES, C.HUREAU, P.FALLER, P.JOSEPH Defects localization tool for wireless sensors network conception Emerging Technologies and Factory Automation (ETFA'2011), Toulouse (France), 5-9 Septembre 2011, 8p. Joint EDEMET 2011 Conference, Gdansk (Pologne), 16-18 Mai 2011, 8p. Conference on Lasers and Electro-Optics Europe (CLEO 2011), Munich (Allemagne), 22-26 Mai 2011, 1p. 2011 Spring Meeting, Nice (France), 9-13 Mai 2011, 1p. (Résumé) IEEE Workshop on Electronics, Control, Measurement and Signals (ECMS 2011), Liberec (République Thchèque), 1-3 Juin 2011, pp.108-113 International Workshop on Structural Health Monitoring (IWSHM 2011), Stanford (USA), 13-15 Septembre 2011, pp.555-561 Micromechanics and Micro systems Europe Workshop (MME 2011), Tonsberg (Norvège), 20-22 Juin 2011, 4p. Liquid-gas microfluidics as a microstructuring tool for optics EOS Conference on Optofluidics (EOSOF 2011), Munich (Allemagne), 23-25 Mai 2011, 2p. Dielectric elastomer micro actuator made in micromachining technology: finite element modelling and deformation measurement A methodology for reliability prediction: thermal and RF MEMS case of studies Eurosensors XXV, Athènes (Grèce), 4-7 Septembre 2011, 4p. Sensors/Actuators network development for aeronautics structure health monitoring Liquid-gas microfluidics as a microstructuring tool for optics: controlled defects inside selforganized bubble crystals IEEE SENSORS 2011, Limerick (Irlande), 28-31 Octobre 2011, pp.1157-1160 International Conference On Miniaturized Systems For Chemistry and Life Sciences (MicroTAS 2011), Seattle (USA), 2-6 Octobre 2011, 1985p. Real-time architecture on FPGA for obstacle detection using inverse perspective mapping International Conference on Electronics Circuits and Systems (ICECS 2011), Beyrouth (Liban), 11-14 Décembre 2011, 4p. European Microwave Week (EuMW 2011), Manchester (UK), 9-14 Octobre 2011, 4p. A wireless passive RCS-based temperature sensor using liquid metal and microfluidics technologies In silico evaluation of the role of ATP binding in the mechanism of ATP motors Innovative 3D polymer packaging and multistacking of integrated circuits IEEE SENSORS 2011, Limerick (Irelande), 28-31 Octobre 2011, pp.1677-1680 E-MRS Spring Meeting 2012, Strasbourg (France), 14-18 Mai 2012, 1p. (Résumé) International Conference and Exhibition on Device Packaging, Scottsdale (USA), 5-8 Mars 2012, 1p. Fabrication and characterisation of a fully integrated biosensor associating microfluidic device and RF circuit International Microwave Symposium (IMS 2012), Montréal (Canada), 17-22 Juin 2012, 3p. Polymer tunable microlens arrays suitable for VCSEL beam control Photonics Europe 2012, Bruxelles (Belgique), 16-19 Avril 2012, 11p. Microfluidics for Alzheimer's disease: on-chip study of amyloid-B aggregation International Symposium on Microchemistry and Microsystems (ISMM 2012), Hsinchu (Taiwan), 1013 Juin 2012, pp.186-188 C.MASTAIL, A.ESTEVE, Establishing the link between densification Symposium SiO2, Advanced Dielectrics and Related N.RICHARD, M.DJAFARI mechanisms and interface nanotructure in high- Devices 2012, Hyères (France), 17-20 Juin 2012, ROUHANI, G.LANDA, k deposition on silicon oxide: a multi scale 2p. A.HEMERYCK modelling study C.MASTAIL, A.HEMERYCK, Early silicon interstitial generation silicon oxide Symposium SiO2, Advanced Dielectrics and Related A.ESTEVE, N.RICHARD, amorphisation via ring pattern nuclei Devices 2012, Hyéres (France), 17-20 Juin 2012, M.DJAFARI ROUHANI, G.LANDA 2p. H.CAMON, A.BOUNOUH, MEMS based AC voltage reference : towards MicroTech 2012, Santa Clara (USA), 18-21 Juin F.BLARD, D.BELIERES metrological applications 2012, pp.145-148 Scientific Production — 150 MAI12233 MAI12278 MAI12279 MAI12333 MAI12336 MAI12348 F.CHAUVET, S.GEOFFROY, Nanobubbles and gas dynamics during capillary International Conference on Miniaturized Systems A.HAMOUMI, M.PRAT, P.JOSEPH filling of nanochannels for Chemistry and Life Sciences ( MicroTas ) 2012 du 28 octobre au 01 novembre 2012, Okinawa (Japon), 2012, pp.323-325 S.SOULIMANE, W.P.SHIH, Ceramic siloxane composite as a future Conference on Smart Materials, Adaptive Structures M.VEDRENNE, H.CAMON elastomer dielectric for micro-actuator & Intelligent Systems (SMASIS 2012), Stone realization Mountain (USA), 19-21 Septembre 2012, 5p. V.SHUKLA, G.AURIOL, C.BARON, Intelligent system design tool: a comprehensive International Conference on Software and Systems D.ESTEVE, J.C.PASCAL, PDM/PLM tool Engineering and their Application ( ICSSEA ) 2012 P.ESTEBAN, M.MALBERT du 23 octobre au 25 octobre 2012, Paris (France), 2012, 2p. P.PAIMBLANC, G.DUCHATEAU, FIL: information fusion for localization International Conference on Space Applications F.LE GLAND, E.CAMPO, (SpaceAppli). Toulouse Space Show 2012, Toulouse C.ALVERNHE, J.KORSAKISSOK (France), 25-28 Juin 2012, 1p. S.FETAH, A.ESTEVE, M.DJAFARI Stability of Frenkel pair defects in the vicinity of E-MRS Spring Meeting 2012, Strasbourg (France), ROUHANI the Si(100) surface 14-18 Mai 2012, 1p. G.TATON, V.CONEDERA, Micro-chip initiator realized by integrating International Pyrotechnics Seminar (IPS 2012), C.ROSSI AI/CuO nanolaminates on polymeric membrane Denver (USA), 10-15 Juin 2012, 1p. MAI12349 A.BANCAUD, C.ROSSI, A.ESTEVE Nanoparticle-based energetic materials: E-MRS Spring Meeting 2012, Strasbourg (France), nanoscale and mesoscale fabrication processes 14-18 Mai 2012, 28p. MAI12370 M.BRUT, A.ESTEVE, M.DJAFARI ROUHANI MAI12371 A.ESTEVE, M.DJAFARI ROUHANI, C.LANTHONY, A.HEMERYCK, C.ROSSI C.LANTHONY, J.M.DUCERE, A.HEMERYCK, A.ESTEVE, C.ROSSI, M.DJAFARI ROUHANI M.M.BAHRAMI, G.TATON, L.SALVAGNAC, D.LAGRANGE, C.ROSSI D.BOTERO GALEANO, J.PIAT, P.CHALIMBAUD, M.DEVY, J.L.BOIZARD Atomic scale simulation for structural oncology International Symposium New Frontiers in Our through the static mode method: exploration of Understanding and Treatment of Cancer, Toulouse the target protein ras (France), 1-2 Octobre 2012, 1p. (Résumé) Issues in the multiscale modelling of MRS Fall Meeting 2012 du 25 novembre au 30 nanoenergetic materials: towards an novembre 2012, Boston (USA), Novembre 2012, 1p. hyperthermal kinetic Monte Carlo scheme Studying the formation of interface layers in MRS Fall Meeting 2012 du 25 novembre au 30 Al/CuO nanothermites by a kinetic Monte Carlo novembre 2012, Boston (USA), Novembre 2012, 1p. simulation technique Tayloring AlCuO nanolaminate properties to MRS Fall Meeting 2012 du 25 novembre au 30 produce tunable on-chip heat source novembre 2012, Boston (USA), Novembre 2012, 1p. MAI12372 MAI12374 MAI12420 MAI12478 MAI12479 FPGA implementation of mono and stereo inverse perspective mapping for obstacle detection Conference on Design and Architectures for Signal and Image Processing ( DASIP ) 2012 du 23 octobre au 25 octobre 2012, Karlsruhe (Allemagne), Octobre 2012, 8p. D.BARAT, V.BARDINAL, I.DIKA, Microlens self-writing on vertical laser diodes by International Conference on Micro and Nano O.SOPPERA, A.RUMYANTSEVA, near Infra-red photo-polymerization Engineering (MNE 2012), Toulouse (France), 16-20 B.REIG, M.RENAULT, Septembre 2012, 1p. A.BRUYANT, T.CAMPS, J.B.DOUCET, J-P.MALVAL, E.DARAN B.REIG, V.BARDINAL, T.CAMPS, A miniaturized VCSEL-based system for optical IEEE SENSORS 2012 du 28 octobre au 31 octobre J.B.DOUCET, E.DARAN sensing in a microfluidic channel 2012, Taipei (Taiwan), Octobre 2012, 4p. MAI12507 H.BOUKABACHE, C.ESCRIBA, S.ZEDEK, J.Y.FOURNIOLS Structural health monitoring on metallic aircrafts Annual Conference of the Prognostics and Health using flexible and bulk PZT transducers: case of Management Society (PHM 2012), Mineapolis corrosion detection and crack localization (USA), 23-27 Septembre 2012, pp.215-223 MAI12508 V.PICOT, M.ROSSI, B.ALIES, X.DOLLAT, C.HUREAU, P.FALLER, P.JOSEPH V.PICOT, M.ROSSI, B.ALIES, C.HUREAU, P.FALLER, P.JOSEPH Microfluidics for Alzheimer's disease: on-chip study of amyloid-B aggregation MAI12509 MAI12579 J.LU, A.VAN DEN BOSSCHE, E.CAMPO MAI12580 J.LU, A.VAN DEN BOSSCHE, E.CAMPO MAI12630 F.DUBOSC, D.BOURRIER, T.LEICHLE MAI12695 M.MADER, P.JOSEPH, S.CARGOU, A.M.GUE MAI12698 G.TATON, M.M.BAHRAMI, L.SALVAGNAC, V.CONEDERA, C.ROSSI, L.RENAUD European Conference on Microfluidics ( MicroFlu ) 2012 du 03 décembre au 05 décembre 2012, Heidelberg (Allemagne), 2012, 6p. Microfluidics for Alzheimer's disease: screening International Conference on Miniaturized Systems and diffusion to study amyloid-B aggregation for Chemistry and Life Sciences ( MicroTas ) 2012 du 28 octobre au 01 novembre 2012, Okinawa (Japon), 2012, pp.1030-1032 Enabling guaranteed beacon and data slots in International Conference on Wireless and Mobile multi-hop mesh sensor networks for home Communications ( ICWMC ) 2012 du 24 juin au 29 health monitoring juin 2012, Venise (Italie), Venise (Italie), 2012, 5p. Improving robustness and flexibility of MAC International Conference on Wireless layer for guaranteed QoS indoor monitoring in Communications in Unusual and Confined Are ( wireless mesh sensor networks ICWCUCA ) 2012 du 28 août au 30 août 2012, Clermont-Ferrand (France), Clermont-Ferrand (France), 6p. Fabrication of lateral porous silicon membranes Eurosensors 2012 du 09 septembre au 12 for planar microfluidic devices septembre 2012, Krakow (Pologne), Seul le résumé est disponible, 2012, 2p. Microfluidic system for sample preparation in European Conference on Microfluidics ( MicroFlu ) sensors: submicronic particle separation 2012 du 03 décembre au 05 décembre 2012, Heidelberg (Allemagne), 2012, 7p. Safe and smart initiator integrating multilayer International Pyrotechnics Seminar ( IPS ) 2013 du nano-thermite pyroMEMS 27 mai au 31 mai 2013, Valence (Espagne), Mai 2013, 1p. Scientific Production — 151 MAI12699 MAI12714 MAI12734 MAI12735 MAI12802 MAI13011 MAI13035 MAI13121 MAI13239 MAI13263 MAI13275 MAI13338 MAI13366 MAI13367 MAI13370 MAI13374 MAI13483 MAI13493 MAI13577 MAI13639 MAI14028 M.M.BAHRAMI, G.TATON, L.SALVAGNAC, V.CONEDERA, C.ROSSI D.BOTERO GALEANO, J.PIAT, M.DEVY, J.L.BOIZARD Effect of stoichiometry, size and aging of Al/CuO nanolaminates on initiation, combustion and thermal properties An FPGA accelerator for multispectral visionbased EKF-SLAM International Pyrotechnics Seminar ( IPS ) 2013 du 27 mai au 31 mai 2013, Valence (Espagne), Mai 2013, 1p. Workshop on Smart CAmeras for roBOTic applications ( SCaBot ) 2012 du 11 octobre au 11 octobre 2012, Vilamoura (Portugal), Octobre 2012, 6p. H.RANCHON, A.BANCAUD Novel matrix-free lab-on-chip for fast and high- European Conference on Microfluidics ( MicroFlu ) resolution biomolecule separation 2012 du 03 décembre au 05 décembre 2012, Heidelberg (Allemagne), Décembre 2012, 7p. S.CARGOU, H.KABBARA, Handling fluidic flow in SU8 original 3D European Conference on Microfluidics ( MicroFlu ) M.MADER, P.JOSEPH, geometries 2012 du 03 décembre au 05 décembre 2012, A.BOUKABACHE, A.M.GUE Heidelberg (Allemagne), Décembre 2012, 7p. C.CODREANU, J.LUBIN, New microelectronic stress sensor network for More Electric Aircraft ( MEA ) 2012 du 20 novembre S.ZEDEK, T.CAMPS, F.RAOUL the structural health mangement of carbon fiber au 21 novembre 2012, Bordeaux (France), reinforced polymer components Novembre 2012, 5p. G.TATON, L.RENAUD, C.ROSSI, Digital integrated pyrotechnique system European Conference for Aeronautics and Space V.DUBOIS Sciences ( EUCASS ) 2013 du 01 juillet au 05 juillet 2013, Munich (Allemagne), Juillet 2013, 6p. W.BOURENNANE, F.BETTAHAR, Self adaptive trajectory learning for monitoring IASTED International Conference on Biomedical E.CAMPO the elderly wandering Engineering ( BioMed ) 2013 du 13 février au 15 février 2013, Innsbruck (Autriche), Mars 2013, 6p. J.CRATTELET, S.PECASTAINGS, Biofouling detection and quantification with an World Congress of Chemical Engineering ( WCCE ) G.GUILLEMOT, C.ROQUES, on-line local thermal pulse analysis sensor 2013 du 18 août au 23 août 2013, Séoul (Corée), P.DEBREYNE, A.BOUKABACHE, Août 2013, 4p. D.ESTEVE, L.AURET, L.FILLAUDEAU H.RANCHON, J.LACROIX, Nanofluidic system for matrix-free DNA analysis ImagineNano 2013 du 23 avril au 26 avril 2013, MC.BLATCHE, A.BANCAUD Bilbao (Espagne), Avril 2013, 2p. H.BOUKABACHE, S.ZEDEK, Reconfigurable architecture based on International Workshop of Structural Health C.ESCRIBA, J.Y.FOURNIOLS programmable system on chip and versatile Monitoring ( IWSHM ) 2013 du 10 septembre au 12 interface for multi sensors instrumentations septembre 2013, Stanford (USA), Septembre 2013, applied to SHM: case of an ATR72 wing panels pp.454-460 A.BELISARIO, C.CODREANU, Multi-sensing strategy for SHM in civil International Workshop of Structural Health S.ZEDEK, J.Y.FOURNIOLS, engineering: case study of e structural element Monitoring ( IWSHM ) 2013 du 10 septembre au 12 T.CAMPS, C.ESCRIBA, with carbon fiber reinforcement septembre 2013, Stanford (USA), Septembre 2013, R.FRANCOIS pp.831-838 A.BOUKABACHE, S.PINON, A tridimentional monolithic biosensor combining International Conference on Sensors ( AsiaSense ) A.RUMEAU, G.PRIGENT, E.RIUS microfluidic network and Rf circuits 2013 du 27 août au 29 août 2013, Melaka (Malaisie), Août 2013, 4p. H.MA, P.ACCO, M.L.BOUCHERET, Low complexity TOA estimator for multiuser DS- Workshop on Positioning, Navigation and D.FOURNIER-PRUNARET UWB system Communication ( WPNC ) 2013 du 20 mars au 21 mars 2013, Dresde (Allemagne), Mars 2013, 6p. H.MA, P.ACCO, M.L.BOUCHERET, Multiuser interference mitigation in DS-UWB International Symposium on Wireless D.FOURNIER-PRUNARET ranging system Communication Systems ( ISWCS ) 2013 du 27 août au 30 août 2013, Ilmeneau (Allemagne), Août 2013, pp.901-905 H.MA, P.ACCO, M.L.BOUCHERET, Chaos-based TOA estimator for DS-UWB European Signal Processing Conference ( D.FOURNIER-PRUNARET ranging systems in multiusuer environment EUSIPCO ) 2013 du 09 septembre au 13 septembre 2013, Marrakech (Maroc), Septembre 2013, 5p. Y.CHARLON, F.BETTAHAR, Design of a smart shoe insole to monitor frail International Conference on Modern Well-being for E.CAMPO older people Societies and Territories ( LivInWell ) 2013 du 25 mars au 27 mars 2013, Sainte Feyre (France), Mars 2013, 6p. A.TRAPAIDZE, M.BRUT, Thrombin detection with aptadimer technology COST Thematic Workshop, Biomimetic structures A.BANCAUD, D.ESTEVE, and DNA technology in biosensing 2013 du 08 avril A.ESTEVE, A.M.GUE au 10 avril 2013, Bratislava (Slovaquie), Avril 2013, 1p. A.TRAPAIDZE, A.BANCAUD, SPR study of interaction of thrombin aptameter COST TD 1003 2013 Nano-scales arrangements of A.M.GUE HD1 and HD2 with thrombin, prothrombin and proteins, aptamers and other nucleic acid structure thrombininhibitor complexes du 08 octobre au 09 octobre 2013, Leipzig (Allemagne), Octobre 2013, 1p. P.MARGUERES, T.CAMPS, Cure monitoring of CFRP: electrical impedance International Conference on Composite Materials ( P.OLIVIER, S.SASSI, analysis ICCM ) 2013 du 28 juillet au 02 août 2013, Montréal M.MOUNKAILA (Canada), Juillet 2013, 10p. W.FILALI, J.MASSE, F.LERASLE, Human motion capture using 3D reconstruction IEEE International Conference on Systems, Man J.L.BOIZARD, M.DEVY based on multiple depth data and Cybernetics ( IEEE SMC ) 2013 du 13 octobre au 16 octobre 2013, Manchester (UK), Octobre 2013, 6p. R.COURSON, S.CARGOU, Low cost integration of multilevel lab-on-chip Smart Systems Integration ( ssi ) 2014 du 26 mars V.CONEDERA, M.FOUET, using a new generation of dry film photoresists au 27 mars 2014, Vienne (Autriche), Mars 2014, 8p. A.M.GUE Scientific Production — 152 MAI14120 B.DUGRENIL, I.SEGUY, H.Y.LEE, AZO electrodes deposited by atomic layer T.CAMPS, Y.C.LIN, J.B.DOUCET, deposition for OLED fabrication Y.S.CHIU, L.SALVAGNAC, E.BEDEL-PEREIRA, M.TERNISIEN, C.T.LEE, V.BARDINAL MAI14123 S.ABADA, T.CAMPS, B.REIG, J.B.DOUCET, E.DARAN, V.BARDINAL S.ABADA, B.REIG, E.DARAN, J.B.DOUCET, T.CAMPS, S.CHARLOT, V.BARDINAL L.RECOULES, V.PICOT, S.JOUANNEAU, S.ASSIESOULEILLE, G.THOUAND, Y.PRIMAULT, D.LAGRANGE, D.ESTEVE, A.BOUKABACHE M.FOUET, R.COURSON, X.DOLLAT, D.MEDALE, A.M.GUE MAI14124 MAI14133 MAI14152 SPIE Photonics Europe Conference 2014 du 14 avril au 17 avril 2014, Bruxelles (Belgique), Avril 2014, 6p. 3D optimization of a polymer MOEMS for active SPIE Photonics Europe Conference 2014 du 14 focusing of VCSEL beam avril au 17 avril 2014, Bruxelles (Belgique), Avril 2014, 9p. Uniform fabrication of thick SU-8 patterns on SPIE Photonics Europe Conference 2014 du 14 small-sized wafers for micro-optics applications avril au 17 avril 2014, Bruxelles (Belgique), Avril 2014, 6p. Conception et réalisation d'un bioMEMS pour la Seminario Annual de Automatica, Electronica e surveillance de la qualité de l'eau. Mesure de la Instrumentacion ( SAAEI ) 2014 du 25 juin au 27 demande biologique en oxygène (BDO) juin 2014, Tanger (Maroc), Juin 2014, 3p. A microfluidic magnetic hybrid actuator for advanced handling functions at cell resolution International Conference on the Scientific and Clinical Applications of Magnetic Carriers 2014 du 10 juin au 14 juin 2014, Dresde (Allemagne), Juin 2014, 1p. An integrated magnetic planar actuator International Conference on the Scientific and redefining multilevel (3D) microfluidic strategies Clinical Applications of Magnetic Carriers 2014 du 10 juin au 14 juin 2014, Dresde (Allemagne), Juin 2014, 1p. Towards the success of design projects by the Joint Conference on Mechanical Design Engineering alignment of processes in collaborative & Advanced Manufacturing 2014 du 18 juin au 20 engineering juin 2014, Toulousea (France), Juin 2014, 6p. MAI14153 S.CARGOU, M.FOUET, A.MONTROSE, D.BOURRIER, K.REYBIER, A.M.GUE MAI14174 R.XUE, C.BARON, P.ESTEBAN, D.ESTEVE, M.MALBERT MAI14175 C.BARON, P.ESTEBAN, R.XUE, D.ESTEVE, M.MALBERT [MAN] Ref Conferences with published proceedings -‐national-‐ Authors Title Published in: MAN08698 I.CORTES, E.AL ALAM, M.P.BESLAN, A.GOULLET, F.MORANCHO, A.CAZARRE, Y.CORDIER, K.ISOIRD R.FULCRAND, C.ESCRIBA, M.DILHAN, A.BOUKABACHE, A.M.GUE J.CRATTELET, A.BOUKABACHE, L.SALVAGNAC, D.ESTEVE, L.FILLAUDEAU, L.AURET 5ème Colloque Interdisciplinaire en Instrumentation C2I 2010, Le mans (France), 26-27 Janvier 2010, pp.1-8 5ème Colloque Interdisciplinaire en Instrumentation C2I 2010, Le Mans (France), 26-27 Janvier 2010, pp.1-2 MAN09377 MAN09436 MAN09523 M.PETRANTONI, C.ROSSI MAN09730 A.RAMOND, M.KRUPA, B.JAMMES, C.ROSSI E.AL ALAM, F.MORANCHO, M.P.BESLAND, P.REGRENY, A.GOULLET, A.CAZARRE, I.CORTES N.NASREDDINE, J.L.BOIZARD, J.Y.FOURNIOLS MAN10157 MAN10377 MAN10499 MAN10924 MAN11313 MAN11361 Specifications of a method and tool to support the management o systems engineering projects International Conference on Engineering, Technology and Innovation ( ICE ) 2014 du 23 juin au 25 juin 2014, Bergame (Italie), Juin 2014, 7p. Analysis of the C-V characteristics SiO2/GaN 7th Spanish Conference on Electron Devices (CDE MOS capacitors 09), Santiago de Compostela (Espagne), 11-13 Février 2009, pp.279-280 Etude et caractérisation d'un système microfluidique destiné au tri magnétique d'espèces biologiques Réalisation d'un micro-capteur intégré sur silicium pour la mesure en ligne et en continu de l'encrassement dans les procédés industriels et de traitement de l'eau Elaboration et intégration sur silicium de matériaux énergétiques nanostructurés sous forme de nanofils. Cas d'une thermite: Al/CuOx An optimal power management system for piezoelectric harvester Conception de transistors MOS latéraux de puissance en GaN Modélisation d'un canal de transmission à bruit thermique pour un émulateur d'un réseau de capteurs communicant sans fil Réalisation de dispositifs nanofluidiques destinés à la manipulation de molécules d'ADN Journées Nationales du Réseau Doctoral de Microélectronique (JNRDM 2009), Lyon (France), 1820 Mai 2009, 4p. SENSACT 2009, Noisy le Grand (France), 8 Octobre 2009, 8p. Journées Surfaces et Interfaces (JSI 2010), Nantes (France), 27-29 Janvier 2010, 1p. Journées Nationales du Réseau Doctoral en Microélectronique (JNRDM 2010), Montpellier (France), 7-9 Juin 2010, 4p. Y.VIERO, Q.HE, A.BANCAUD, Journées Nationales du Réseau Doctoral en L.MAZENQ, P.DUBREUIL Microélectronique (JNRDM 2010), Montpellier (France), 7-9 Juillet 2010, 4p. D.BARAT, V.BARDINAL, T.CAMPS, Micro-optique auto-alignée sur VCSELs par Journées de la Matière Condensée (JMC12), Troyes B.REIG, J.B.DOUCET, E.DARAN, photopolymérisation infrarouge (France), Avril 2011, 1p. (Résumé) I.DIKA, C.TURCK, J-P.MALVAL, O.SOPPERA D.L.DIEDHIOU, S.PINON, E.RIUS, Etude de filtres millimétriques accordables en Journées Nationales Microondes (JNM 2011), Brest C.QUENDO, J.F.FAVENNEC, technologie microfluidique (France), 18-20 Mai 2011, 4p. B.POTELON, A.BOUKABACHE, A.M.GUE, N.FABRE, G.PRIGENT, V.CONEDERA, J.Y.FOURNIOLS M.TORRES, B.DOUCHIN, Valeur ajoutée d'une encapsulation de capteurs 17èmes Journées Nationales sur les Composites F.COLLOMBET, L.CROUZEIX, silicium pour l'instrumentation à coeur des (JNC17), Poitiers (France), 15-17 Juin 2011, 10p. Y.H.GRUNEVALD, A.R.BAZERstructures composites BACHI, J.LUBIN, T.CAMPS Scientific Production — 153 MAN11465 Y.CHARLON, W.BOURENNANE, E.CAMPO MAN12231 F.CHAUVET, S.GEOFFROY, M.PRAT, P.JOSEPH MAN12467 MAN13152 MAN13344 MAN13375 MAN13522 MAN13653 MAN14121 MAN14122 Mise en oeuvre d'une plateforme de suivi de l'actimétrie associée à un système d'identification Formation, lifetime and effect of trapped bubbles during capillary filling of nanoslits Journées de la Matière Condensée (JMC13), Montpellier (France), 27-31 Août 2012, 1p. (Résumé) H.RANCHON, A.BANCAUD Technologie pour la séparation de biomolécules Journées de la Matière Condensée (JMC13), en solution libre: développement d'une puce Montpellier (France), 27-31 Août 2012, 1p. microfluidique et étude à l'échelle de la molécule individuelle E.CAMPO, A.VAN DEN Déploiement et exprérimentation d'un système Workshop – Alzheimer, Approche pluridisciplinaire. BOSSCHE, N.VIGOUROUX, socio-technique pour la surveillance des De la recherche clinique aux avancées F.VELLA, X.DARAN, E.OSUCH, activités comportementales de personnes en technologiques ( ALZHEIMER ) 2013 du 25 janvier J.C.MARQUIE, I.ETCHEVERRY, perte d'autonomie dans un habitat intelligent au 25 janvier 2013, Toulouse (France), Janvier 2013, P.RUMEAU pp.75-85 S.ABADA, B.REIG, T.CAMPS, Modélisation et caractérisation de MOEMS Journées Nano, Micro et Optoélectronique ( JNMO ) J.B.DOUCET, E.DARAN, polymères électrothermiques pour la focalisation 2013 du 21 mai au 23 mai 2013, Evian (France), V.BARDINAL dynamique de VCSELs Mai 2013, 1p. Y.CHARLON Feasibility test of a smart shoe insole self Journées Nationales sur la Récupération et le powered Stockage d'Energie ( JNRSE ) 2013 du 27 mars au 28 mars 2013, Toulouse (France), Mars 2013, 2p. F.BETTAHAR, W.BOURENNANE, HOMECARE: une plateforme technique de Workshop – Alzheimer, Approche pluridisciplinaire. Y.CHARLON, E.CAMPO surveillance pour le suivi actimétrique de De la recherche clinique aux avancées patients Alzheimer technologiques ( ALZHEIMER ) 2013 du 25 janvier au 25 janvier 2013, Toulouse (France), Janvier 2013, pp.87-98 L.RECOULES, V.PICOT, Development of a bacteria based microsystem Microfluidic engineering of smart materials. Annual S.JOUANNEAU, S.ASSIEfor a quickly measure of polluants in rejected meeting of the GDR MicronanoSystèmes & SOULEILLE, D.LAGRANGE, water MicroNanoFluidique 2013 du 16 décembre au 17 G.THOUAND, Y.PRIMAULT, décembre 2013, Bordeaux (France), Décembre D.ESTEVE, A.BOUKABACHE 2013, 1p. A.PIAU, Y.CHARLON, E.CAMPO, Une semelle intelligente pour promouvoir Congrès Francophone Fragilité et Prévention de la F.NOURHASHEMI l'activité physique des patients âgés fragiles dépendance du sujet âgé 2014 du 03 avril au 04 avril 2014, Marseille (France), Avril 2014, 1p. A.PIAU Apport des nouvelles technologies pour la prise Journées d'études "Vieillissement et dépendance" en charge de la fragilité 2014 du 26 juin au 27 juin 2014, Blagnac (France), Juin 2014, 1p. [MSI] Ref Conferences without proceedings -‐international-‐ Authors Title MSI09293 D.PECH, M.BRUNET, H.DUROU, F.MESNILGRENTE, N.FABRE, V.CONEDERA, P.L.TABERNA, P.SIMON D.PECH, M.BRUNET, H.DUROU, P.L.TABERNA, P.SIMON, N.FABRE, F.MESNILGRENTE, V.CONEDERA A.HEMERYCK, J.M.DUCERE, A.ESTEVE, M.DJAFARI ROUHANI, G.LANDA, C.TROPIS, P.MENINI, A.MAISONNAT, P.FAU, B.CHAUDRET M.PETRANTONI, C.ROSSI, V.CONEDERA, L.SALVAGNAC, D.BOURRIER, C.TENAILLEAU, P.ALPHONSE H.PEZOUS, M.SANCHEZ, F.MATHIEU, X.DOLLAT, S.CHARLOT, G.A.ARDILA RODRIGUEZ, C.ROSSI, D.ESTEVE M.PETRANTONI, A.HEMERYCK, J.M.DUCERE, A.ESTEVE, C.ROSSI, M.DJAFARI ROUHANI, D.ESTEVE, G.LANDA M.PETRANTONI, C.ROSSI, L.SALVAGNAC, V.CONEDERA, C.TENAILLEAU, A.CHAPELLE, P.ALPHONSE M.PETRANTONI, A.ESTEVE, J.M.DUCERE, F.SEVERAC, A.HEMERYCK, M.DJAFARI ROUHANI, A.BANCAUD, G.LANDA MSI09301 MSI09527 MSI09590 MSI09606 MSI09661 MSI09812 MSI10288 Symposium Mobilité et Santé (SMS 2011), Ax les Thermes (France), 1 Septembre 2011, 12p. Published in: Fabrication and caracterization of carbon micro- New Energy Solution in Tours (NEST 2009), Tours supercapacitors elaborated by inkjet deposition (France), 26-27 Mai 2009, 1p. (Résumé) Miniaturization and integration of carbon-based First International Symposium on Enhanced electrochemical capacitors: technological issues Electrochemical Capacitors (ISEECap'), Nantes (France), 29 Juin - 2 Juillet 2009, 1p. Multiscale modelling of gas sensors response to E-MRS Spring Meeting 2009, Strasbourg (France), 8mixture of CO, CO2 and H2O exposure 12 Juin 2009, 1p. (Résumé) Nanostructured energetic materials based on 2nd FERMaT-Institute of Mechanics Processes And Al/CuO thermite : nanowires & multilayers Control Twente meeting (FERMaT-IMPACT) , Enschede (Pays-Bas), 13-16 Octobre 2009, pp.3939 Fabrication, assembly and tests of a MEMS E-MRS 2009 , Strasbourg (France), 8-12 Juin 2009 based safe, arm and fire device Al/Ni intermetallic energetic system : ab initio E-MRS 2009 , Strasbourg (France), 8-12 Juin 2009 computational approach Al/CuO thermite : Multilayer by reactive 3rd Russian-French Seminar Nanotechnology, magnetron sputtering head to head with Energy, Plasma, Lasers (NEPL-2009), Toulouse nanowires by thermal treatment (France), 16-17 Novembre 2009 Nanoenergetical material on a chip: technology International Workshop on Pyrotechnic Combustion and modeling mechanisms (WPC 2011), Reims (France), 16-19 Mai 2011, 2p. Scientific Production — 154 MSI10383 F.SEVERAC, C.ROSSI, A.BANCAUD, A.ESTEVE MSI10480 Q.HE, C.MILLOT, S.MAZERES, L.SALOME, A.BANCAUD Toward energetic materials nanostructuration through DNA directed nanoparticles self assembly Micropatterned poly-acrylamid gels for colloids self assembly and bio-assays P.JOSEPH Imbibition in nanofluidic systems MSI10838 MSI10839 MSI10850 MSI10852 MSI11525 MSI12057 MSI12058 MSI12059 MSI12060 MSI12061 MSI12302 MSI12334 MSI12335 MSI12337 MSI12338 MSI12373 MSI12696 MSI13627 MSI14231 NanoSEA 2010, Cassis (France), 28 Juin - 2 Juillet 2010, 1p. (Résumé) International Conference on Nanostructures SElfAssembly (NANOSEA 2010), Cassis (France), 28 Juin - 1 juillet 2010, 5p. A.ESTEVE, C.MASTAIL, Insight into Hf02 ALD basic growth mechanisms International Conference on Atomic Layer Deposition M.DJAFARI ROUHANI, G.LANDA through a multi-level modelling approach (ALD 2010), Séoul (Corée), 20-23 Juin 2010, 1p. (Résumé) C.MASTAIL, N.RICHARD, Extension of oxide nuclei onto a silicon (100) SiO2 Advanced Dielectrics and Related Devices, A.ESTEVE, A.HEMERYCK, surface varenne (Italie), 21-23 Juin 2010, 1p. (Résumé) M.DJAFARI ROUHANI C.MASTAIL, N.RICHARD, Oxide nuclei propagation through the channel Worshop Passion for Interfaces, San Sebastian A.ESTEVE, A.HEMERYCK, separating two dimer rows onto silicon (100) (Espagne), 28-30 Septembre 2010, 1p. (Résumé) M.DJAFARI ROUHANI surface S.FETAH, A.ESTEVE, M.DJAFARI Thermodynamics of Frenkel pairs in Si(100), E-MRS Spring Meeting, Strasbourg (France), 7-11 ROUHANI, G.LANDA, P.POCHET SiGe surfaces and theirs oxides Juin 2010, 2p. (Résumé) A.ALLOUCH, K.BOURNINE, Liquid-gas microfluidics as a microstructuring NAMIS Workshop ( NAMIS Workshop ) 2012 du 27 P.JOSEPH, S.GEOFFROY, tool for optics: controlled defects inside self- mai au 30 mai 2012, Zao (Japon), Zao (Japon), 1p. A.BOUCHIER, A.MONMAYRANT, organized bubble crystals O.GAUTHIER-LAFAYE, F.LOZESDUPUY, A.M.GUE C.MASTAIL, A.HEMERYCK, Multi-scale modelling of high-k deposition on E-MRS Spring Meeting 2012, Strasbourg (France), A.ESTEVE, M.DJAFARI silicon oxide; link between densification 14-18 Mai 2012, 1p. (Résumé) ROUHANI, G.LANDA mechanism and interface nanostructure A.HEMERYCK, D.DJAFARI New phonons-induced kinetic Monte-Carlo E-MRS Spring Meeting 2012, Strasbourg (France), ROUHANI, C.LANTHONY, methodology to deal with hyperthermal 14-18 Mai 2012, 1p. (Résumé) A.ESTEVE, C.ROSSI, G.LANDA, reactions: applications to silicon oxidation M.DJAFARI ROUHANI C.MASTAIL, I.BOURENNANE, Comparative study of primary oxidation of E-MRS Spring Meeting 2012, Strasbourg (France), A.HEMERYCK, A.ESTEVE, germanium and silicon (100) surfaces through 14-18 Mai 2012, 1p. (Résumé) M.DJAFARI ROUHANI, G.LANDA DFT calculations F.MOUELHI, B.MEUNIER, CO molecules desorption and SiO2 layer E-MRS Spring Meeting 2012, Strasbourg (France), A.HEMERYCK, A.ESTEVE, formation on 4H-SiC(0001): a DFT study 14-18 Mai 2012, 1p. (Résumé) M.DJAFARI ROUHANI, G.LANDA C.MASTAIL, N.RICHARD, Spontaneous ring pattern formation during the E-MRS Spring Meeting 2012, Strasbourg (France), A.HEMERYCK, A.ESTEVE, initial stage of the silicon oxidation process 14-18 Mai 2012, 1p. (Résumé) M.DJAFARI ROUHANI, G.LANDA C.MASTAIL, I.BOURENNANE, Oxidation of germanium and silicon surfaces E-MRS Spring Meeting 2012, Strasbourg (France), A.ESTEVE, G.LANDA, M.DJAFARI (100): a comparative study throught DFT 14-18 Mai 2012, 18p. ROUHANI, N.RICHARD, methodology A.HEMERYCK M.BRUT, A.ESTEVE, New simulation tools for biomolecule integration Train2 Workshop, Toulouse (France), 19-20 Juin A.HEMERYCK, G.LANDA, into technologies 2012, 37p. X.DURAND, I.SOUSSI, M.DJAFARI ROUHANI C.MASTAIL Atomic scale modeling of DNA immobilized on Train2 Workshop, Toulouse (France), 19-20 Juin metallic/oxides surfaces: a first principle 2012, 11p. inverstigation A.HEMERYCK, D.DJAFARI A phonon induced kinetic Monte-Carlo International Conference on Advanced Materials ROUHANI, C.LANTHONY, methodology to study dry silicon oxidation Modelling (ICAMM 2012), Nantes (France), 14-16 A.ESTEVE, C.ROSSI, G.LANDA, Juin 2012, 1p. (Résumé) M.DJAFARI ROUHANI C.LANTHONY, J.M.DUCERE, Multi-scale modeling of intermixed layers International Conference on Advanced Materials A.HEMERYCK, A.ESTEVE, formation during the energetic material Al/CuO Modelling (ICAMM 2012), Nantes (France), 14-16 C.ROSSI deposition process Juin 2012, 1p. (Résumé) A.HEMERYCK, J.M.DUCERE, Modeling the effect of vacancy concentrations MRS Fall Meeting 2012 du 25 novembre au 30 C.LANTHONY, A.ESTEVE, and intermixingof barrier layer on ignition novembre 2012, Boston (USA), Novembre 2012, 1p. C.ROSSI, M.DJAFARI ROUHANI process in energetic Al/Ni multilayered materials The Physics of Natural Reservoirs Workshop ( PoNR ) 2012 du 19 novembre au 21 novembre 2012, Bordeaux (France), Novembre 2012, 1p. (Résumé) P.JOSEPH, S.MEANCE, Production of microbubbles by nanofluidic step International Soft Matter Conference ( ISMC ) 2013 F.CHAUVET emulsification du 15 septembre au 19 septembre 2013, Rome (Italie), Septembre 2013, 1p. (Résumé) A.HEMERYCK, M.GUILTAT, Initial stages of aluminium oxidation: a multi- Promoting Female Excellence in Theoretical and A.ESTEVE, M.DJAFARI ROUHANI levels study Computational Chemistry II ( FemEx ) 2014 du 13 juin au 16 juin 2014, Oslo (Norvège), Juin 2014, 1p. Scientific Production — 155 [MSN] Ref Conferences without proceedings -‐national-‐ Authors Title MSN09307 H.HAJJOUL, S.KOCANOVA, I.LASSADI, K.BYSTRICKY, A.BANCAUD Q.HE, A.BANCAUD MSN09308 MSN09317 MSN09387 MSN09528 MSN09530 MSN09531 MSN09781 MSN10197 MSN10385 MSN10496 MSN10497 MSN10591 MSN10593 MSN10851 MSN11243 MSN11314 MSN12571 Published in: Lab-on-chip for fast 3D particle tracking in living GDR Microfluidique, Bordeaux (France), 6-7 Mai cells 2009, 1p. Auto-organisation de micro-nano particules sur gel hydrophile texturé A.BANCAUD, S.HUET, N.DAIGLE, Structure de la chromatine et stabilité de J.MOZZICONACCI, l'hétérochromatine: une étude de diffusion en J.BEAUDOUIN, J.ELLENBERG milieu fractal B.REIG, V.BARDINAL, T.CAMPS, Auto-alignement de microlentilles en polymère C.LEVALLOIS, pour la focalisation active des VCSELs C.VERGNENEGRE, J.B.POURCIEL, J.B.DOUCET, E.DARAN J.M.DUCERE, A.HEMERYCK, Modélisation multi-échelle de capteurs A.ESTEVE, M.DJAFARI chimiques à base de SnO2 ROUHANI, G.LANDA, C.TROPIS, P.MENINI, A.MAISONNAT, P.FAU, B.CHAUDRET A.HEMERYCK, N.RICHARD, L'oxydation thermique du silicium par une A.ESTEVE, M.DJAFARI approche multi-échelle ROUHANI, G.LANDA, Y.J.CHABAL, A.J.MAYNE, G.DUJARDIN, G.COMTET M.PETRANTONI, A.HEMERYCK, Matériaux énergétiques nanostructurés: étude J.M.DUCERE, A.ESTEVE, multi-échelles de la croissance d'un système C.ROSSI, M.DJAFARI ROUHANI, intermétallique Al/Ni D.ESTEVE, G.LANDA M.LASTAPIS, C.ESCRIBA, Développement d'un microsystème enregistreur J.Y.FOURNIOLS de paramètres de pales pour surveiller leur santé structurale M.PETRANTONI La pyrotechnie au service de la microélectronique: cas d'une nanothermite Al/CuO M.PETRANTONI, J.M.DUCERE, Nanomatériau énergétique sur puce: H.PEZOUS, A.HEMERYCK, technologie, modélisation et application pour C.ROSSI, A.ESTEVE, M.DJAFARI des systèmes de mise à feu et d'armement ROUHANI, G.LANDA sécurisés Q.HE, H.HAJJOUL, Y.VIERO, Fabrication and application of textured F.SEVERAC, C.BERGAUD, hydrogels for hydrodynamics-directed assembly C.MILLOT, S.MAZERES, of nano-objects over large surface L.SALOME, A.BANCAUD Q.HE, Y.VIERO, M.J.PILLAIRE, Développement d'une technologie nanofluidique J.S.HOFFMAN, MC.BLATCHE, pour la manipulation parallélisée de longues A.BANCAUD molécules d'ADN et la lecture du patron de réplication B.REIG, T.CAMPS, V.BARDINAL Conception de membranes en polymère pour la microoptique active sur VCSELs GDR Microfluidique, Bordeaux (France), 6-7 Mai 2009, 1p. Architecture Fonctionnelle du Chromosome Eukaryote, Paris (France), 9 Avril 2009, 1p. (Résumé) Optique 2009. Horizons de l'Optique, Lille (France), 6-9 Juillet 2009, 3p. GDR-DFT++, Dourdan (France), 2-6 Février 2009, 1p. (Résumé) GDR-DFT++, Dourdan (France), 2-6 Février 2009, 1p. (Résumé) GDR-DFT++, Dourdan (France), 2-6 Février 2009, 1p. (Résumé) Journées Nationales du GdR « Micro Nano Systèmes », Besançon (France), 18-20 Novembre 2009 Journée de l'Ecole Doctorale GEET, Toulouse (France), 11 Mars 2010, 3p. Rencontres DGA. Recherche et Innovation Scientifiques 2010, Paris (France), 18 Mai 2010, 2p. (Résumé) GDR Micro Nano Fluidique, Lille, 5-6 Mai 2010, 5p. GDR Micro Nano Fluidique, Lille (France), 5-6 Mai 2010, 1p. Journées Nano Micro et Optoélectronique (JNMO 2010), Les Issambres (France), 28 Septembre - 1 Octobre 2010, 1p. (Résumé) D.BARAT, B.REIG, T.CAMPS, Micro-optique auto-alignée sur VCSELs par Journées Nano Micro et Optoélectronique (JNMO J.B.DOUCET, E.DARAN, photopolymérisation infrarouge 2010), Les Issambres (France), 28 Septembre - 1 V.BARDINAL Octobre 2010, 1p. (Résumé) C.MASTAIL, A.ESTEVE, Application de la modélisation multi-échelle au Atelier du GDR CoDFT, Marseille (France), 16-17 M.DJAFARI ROUHANI, G.LANDA, dépôt des matériaux à forte permittivités Décembre 2010, 1p. (Résumé) N.RICHARD V.PICOT, P.JOSEPH, P.FALLER, Outils microfluidiques pour l'étude de Journées Nationales du Réseau Doctoral en A.MARTY l'agrégation de l'Amyloïde-Beta Microélectronique (JNRDM 2011), Paris (France), 2325 Mai 2011, 4p. S.PINON, D.L.DIEDHIOU Etude de filtres millimétriques accordables en Journées Nationales du Réseau Doctoral en technologie microfluidique Microélectronique (JNRDM 2011), Paris (France), 2325 Mai 2011, 4p. S.CARGOU Manipulation originale de fluide à travers un Journées Nationales du Réseau Doctoral en réseau 3D de SU8 Microélectronique ( JNRDM ) 2012 du 18 juin au 20 juin 2012, Marseille (France), Marseille (France), 5p. MSN12786 C.CODREANU, J.LUBIN, T.CAMPS, R.FRANCOIS MSN13181 E.AL ALAM, M.P.BESLAND, A.CAZARRE, F.MORANCHO, I.CORTES, T.BEGOU, A.GOULLET, F.OLIVIE, Y.CORDIER, K.ISOIRD Instrumentation d'un capteur en poly-silicium pour l'analyse des vibrations des structures composites Caractérisation de structures MOS SiO2/GaN réalisées par PECVD sur saphir et silicium Scientific Production — 156 Journées Nationales du Réseau Doctoral en Microélectronique ( JNRDM ) 2012 du 18 juin au 20 juin 2012, Marseille (France), Juin 2012, 4p. Journées Nano, Micro et Optoélectronique ( JNMO ) 2013 du 21 mai au 23 mai 2013, Evian (France), Mai 2013, 2p. MSN13452 MSN13457 MSN13458 MSN13628 H.RANCHON, A.TRAPAIDZE, P.JOSEPH, A.BANCAUD Investigation of confined flows using a novel nanovelocimetry techniques: evidence for a giant lift force at low Reynolds Number A.ESTEVE, A.HEMERYCK, Novel directions in dealing with modeling of M.DJAFARI ROUHANI, surface processes in nanotechnology: hot atom C.LANTHONY, D.DJAFARI Kinetic Monte Carlo for hyperthermal trajectory ROUHANI, C.ROSSI, Y.J.CHABAL determination Y.LU, Y.J.CHABAL, L.GLAVIER, Fundamental study of interface layer formation C.ROSSI, A.ESTEVE in reactive Al-based reactive thin films S.MEANCE, F.CHAUVET, Production of microbubbles by nanofluidic step P.JOSEPH emulsification MSN13788 A.HEMERYCK, A.ESTEVE, M.DJAFARI ROUHANI MSN13789 A.HEMERYCK, A.ESTEVE, M.DJAFARI ROUHANI MSN14108 MSN14134 MSN14186 Modélisation multi-niveaux DFT/Monte Carlo cinétique pour les oxydes ultraminces des nanotechnologies GDR n° 3535. Liquides aux Interfaces 2013 du 28 octobre au 30 octobre 2013, Lyon (France), Octobre 2013, 1p. ACS National Meeting 2014 du 16 mars au 20 mars 2014, Dallas (France), Mars 2014, 1p. ACS National Meeting 2014 du 16 mars au 20 mars 2014, Dallas (France), Mars 2014, 1p. Microfluidic engineering of smart materials. Annual meeting of the GDR MicronanoSystèmes & MicroNanoFluidique 2013 du 16 décembre au 17 décembre 2013, Bordeaux (France), Décembre 2013, 1p. GDR MODMAT. Atelier Modélisation des Oxydes ( GDR MODMAT ) 2013 du 16 septembre au 17 septembre 2013, Paris (France), Septembre 2013, 1p. (Résumé) GDR MODMAT. Réunion plénière ( GDR MODMAT ) 2013 du 21 février au 22 février 2013, Marseille (France), Février 2013, 1p. (Résumé) Nouvelle approche pour la simulation de la croissance de couches de matériaux réactifs: Monte Carlo cinétique à trajectoires d'atomes hyperthermiques Y.LU, J.KWON, S.PINON, Fundamental study of interface layer formation ACS National Meeting 2014 du 16 mars au 20 mars W.CABRERA, D.DICK, A.ESTEVE, in Al-based reactive thin films 2014, Dallas (France), Mars 2014, 1p. C.ROSSI, Y.J.CHABAL L.RECOULES, V.PICOT, S.JOUANNEAU, D.MEDALE, G.THOUAND, Y.PRIMAULT, X.DOLLAT, D.ESTEVE, A.BOUKABACHE A.BELISARIO, R.FRANCOIS, T.CAMPS, C.ESCRIBA, J.Y.FOURNIOLS Conception et réalisation d'une biopuce pour la Journées Nationales du Réseau Doctoral en surveillance de la qualité de l'eau. Comparaison Microélectronique ( JNRDM ) 2014 du 26 mai au 28 de deux méthodes de mesure, optode et mai 2014, Lille (France), Mai 2014, 3p. résazurine. Réseaux des capteurs pour l'estimation et caractérisation des endommagements de structures hétérogènes renforcés par matériaux composites: cas d'atude pour une structure en béton appliquée à la SHM-Structural Health Monitoring Méthoses d'évaluation multidimensionnelle et de travail collaboratif pour le développement des technologies de prévention de la dépendance Journées Nationales du Réseau Doctoral en Microélectronique ( JNRDM ) 2014 du 26 mai au 28 mai 2014, Lille (France), Mai 2014, 4p. MSN14232 A.PIAU MSN14265 A.HEMERYCK, M.GUILTAT, Etude multi-niveaux des premières étapes de Rencontre des Chimistes Théoriciens Francophones A.ESTEVE, M.DJAFARI ROUHANI l'oxydation de l'aluminium: DFT et Monte Carlo ( RCTF ) 2014 du 30 juin au 04 juillet 2014, Paris cinétique (France), Juin 2014, 1p. [OAN] Ref Books (author) -‐national-‐ Authors OAN10876 J.Y.FOURNIOLS, C.ESCRIBA [OPI] Ref Books (contribution) -‐international-‐ Authors Title OPI09401 A.BANCAUD, S.HUET, G.RABUT, Photo-perturbation techniques to study mobility J.ELLENBERG and molecular dynamics of proteins in live cells: FRAP, photoactivation, photoconversion and FLIP P.ABGRALL, A.BANCAUD, Nanofluidic devices and their potential P.JOSEPH applications OPI09402 OPI09942 OPI10244 OPI10440 GEET-DAY 2014 du 17 avril au 17 avril 2014, Toulouse (France), Avril 2014, 4p. Title Published in: Systèmes électroniques analogiques. Amplification, filtrage et optronique Presses Universitaires du Mirail, N°ISBN 9782810700585, Collection "pour l'Ingénieur", Septembre 2010, 372p. Published in: Live Cell Imaging, Cold Spring Harbor Laboratory Press, N°ISBN 978-0-87969-892-8, Mars 2010, 2nd Edition, pp.67-93 Microfluidic Devices in Nanotechnology. Fundamental concepts, Wiley, 350p., N°ISBN 978-0470-47227-9, Juin 2010, pp.155-214 C.GONZALEZ, A.MARTY Phototransistors Optoelectronic Sensors, N°ISBN 978-1-84821-0783, 2009, Chapter 4, pp.111-154 A.BANCAUD, S.HUET, G.RABUT, Fluorescence-perturbation techniques to study Live Cell Imaging: A Laboratory Manual, CSH Press, J.ELLENBERG mobility and molecular dynamics of proteins in R.D.Goldman, J.R.Swedlow, D.L.Spector( Eds), live cells: FRAP, photoactivation, 736p., N°ISBN 978-0-879698-93-5, Janvier 2010, photoconversion and FLIP Chapter 5, 54p. C.ESCRIBA, R.FULCRAND, Trapping biological species in a Lab-on-chip VLSI-SoC: Design Methodologies for SoC and siP, P.ARTILLAN, D.JUGIEU, microsystem: micro inductor optimization design Eds: C.Piguet, R.Reis, D.Soudris, Springer, 285p., A.BANCAUD, A.BOUKABACHE, and SU8 process N°ISBN 978-3-642-12266-8, 2010, pp.81-96 A.M.GUE, J.Y.FOURNIOLS Scientific Production — 157 OPI11032 OPI12026 M.DEVY, J.L.BOIZARD, Stereovision algorithm to be executed at 100Hz D.BOTERO GALEANO, on a FPGA-based architecture H.D.CARILLO LINDADO, M.IBARRA MANZANO, Z.IRKI, A.NAOULOU , P.LACROIX, P.FILLATREAU, J.Y.FOURNIOLS, C.PARRA C.BARON, V.SHUKLA, System engineering method for system design G.AURIOL, J.Y.FOURNIOLS Advances in Theory and Applications of Stereo Vision, N°978-953-307-516-7, Janvier 2011, Chapter 17, pp.327-352 Systems Engineering - Practice and Theory, Boris Cogan (Eds), InTech, 354p., N°ISBN 978-953-510322-6, Mars 2012, pp.201-216 [OPN] Ref Books (contribution) -‐national-‐ Authors Title OPN09038 A.M.GUE, A.BOUKABACHE, P.JOSEPH, A.BANCAUD [RVSI] Ref Scientific Journals -‐international-‐ Authors Title RVSI07731 K.ZHANG, C.ROSSI, C.TENAILLEAU, V.CONEDERA M.BRUT, A.ESTEVE, G.LANDA, G.RENVEZ, M.DJAFARI ROUHANI G.A.ARDILA RODRIGUEZ, S.SUHARD, C.ROSSI, D.ESTEVE, P.FAU, S.SABO-ETIENNE, A.F.MINGOTAUD, M.MAUZAC, B.CHAUDRET A.HEMERYCK, A.ESTEVE, N.RICHARD, M.DJAFARI ROUHANI, Y.J.CHABAL A.HEMERYCK, A.ESTEVE, N.RICHARD, M.DJAFARI ROUHANI, G.LANDA A.DKHISSI, A.K.UPADHYAY, A.HEMERYCK, A.ESTEVE, G.LANDA, P.POCHET, M.DJAFARI ROUHANI S.SUHARD, P.FAU, B.CHAUDRET, S.SABO-ETIENNE, M.MAUZAC, A.F.MINGOTAUD, G.A.ARDILA RODRIGUEZ, C.ROSSI, MF.GUIMON CuO nanowires grown frm Cu film heated under a N2/O2 flow The static modes: an alternative approach for the treatment of macro and bio-molecular induced-fit flexibility A micro actuator based on the decomposition of an energetic material for disposable lab-on-chip applications: fabrication and test K.ZHANG, C.ROSSI, P.ALPHONSE, C.TENAILLEAU, S.CAYEZ, J.Y.CHANE-CHING N.FAZOUAN, E.ATMANI, M.DJAFARI ROUHANI, A.ESTEVE J.M.DUCERE, A.ESTEVE, M.DJAFARI ROUHANI, G.LANDA Integrating Al with NiO nano honeycomb to Applied Physics A, Vol.94, N°4, pp.957-962, Mars realize an energetic material on silicon substrate 2009 RVSI08020 RVSI08357 RVSI08372 RVSI09009 RVSI09030 RVSI09040 RVSI09047 RVSI09081 RVSI09145 RVSI09148 RVSI09320 RVSI09378 RVSI09403 RVSI09404 RVSI09476 Published in: Micro et Nanofluidique: une technologie transversale à fort potentiel applicatif La Micro-Nanoélectronique. Enjeux et mutation, N°ISBN 978-2-271-06829-3, Janvier 2009, pp.243248 Published in: Fundamental steps towards amorphization during silicon oxidation Journal of Nanoscience and Nanotechnology, Vol.9, N°2, pp.1418-1422, 1 Mars 2009 The European Physical Journal E: Soft Matter and Biological Physics, Vol.28, N°1, pp.17-25, Janvier 2009 Journal of Micromechanics and Microengineering, Vol.19, N°1, 8p., Janvier 2009 interface Physical Review B, Vol.B79, 035317p., Janvier 2009 A kinetic Monte Carlo study of the initial stage of silicon oxidation: from basic mechanisms to partial ordering of the oxide layer Evidence of the Ge non-reactivity during the initial stage of SiGe oxidation Surface Science, Vol.603, N°13, pp.2132-2137, Juillet 2009 Applied Physics Letters, Vol.94, 041912p., Janvier 2009 When energetic materials, PDMS-based Chemical Materials, Vol.21, N°6, pp.1069-1076, elastomers and microelectronic processes work Février 2009 together: fabrication of a disposable microactuator A Monte Carlo investigation of growth and characterization of heteroepitaxial thin films Evidence of self-assembled monolayers préorganisation prior to surface contact: a first principles study A.DKHISSI, G.MAZALEYRAT, Nucleation and growth of atomic layer A.ESTEVE, M.DJAFARI ROUHANI deposition of HfO2 gate dielectric layers on silicon oxide: a multiscale modelling investigation D.PECH, M.BRUNET, Elaboration of a microstructured inkjet-printed P.L.TABERNA, P.SIMON, carbon electrochemical capacitor N.FABRE, F.MESNILGRENTE, V.CONEDERA, H.DUROU R.FULCRAND, D.JUGIEU, Development of a flexible microfluidic system C.ESCRIBA, A.BANCAUD, integrating magnetic micro-actuators for trapping D.BOURRIER, A.BOUKABACHE, biological species A.M.GUE A.BANCAUD, S.HUET, N.DAIGLE, Molecular crowding affects diffusion and binding J.MOZZICONACCI, of nuclear proteins in heterochromatin and J.BEAUDOUIN reveals the fractal organization H.HAJJOUL, S.KOCANOVA, Lab-on-chip for fast 3D particle tracking in living I.LASSADI, K.BYSTRICKY, cells A.BANCAUD M.AL AHMAD, S.SOULIMANE, Macro PZT MEMS based wide-tunable coupled R.PLANA, K.VAN DER LINDEN, line resonator M.RIEDEL Scientific Production — 158 Thin Solid Films, Vol.517, pp.6260-6263, Février 2009 The Journal of Physical Chemistry C, Vol.113, N°35, pp.15652-15657, Septembre 2009 Physical Chemistry Chemical Physics, Vol.11, N°9, pp.3701-3709, Novembre 2009 Journal of Power Sources, Vol.195, N°4, pp.12661269, 6 Septembre 2009 Journal of Micromechanics and Microengineering, Vol.19, N°10, 105019p., Octobre 2009 The EMBO Journal, Vol.28, N°24, pp.3785-3798, Décembre 2009 Lab on a Chip , Vol.9, N°21, pp.3054-3058, Septembre 2009 Microwave and Optical Technology Letters, Vol.51, N°5, pp.1336-1338, Mai 2009 RVSI09481 RVSI09506 RVSI09515 RVSI09544 RVSI09589 RVSI09592 RVSI09607 RVSI09608 RVSI09620 RVSI09688 RVSI09697 A.HEMERYCK, M.PETRANTONI, A.ESTEVE, C.ROSSI, M.DJAFARI ROUHANI, G.LANDA, D.ESTEVE M.MATMAT, F.COCCETTI, A.MARTY, R.PLANA, C.ESCRIBA, J.Y.FOURNIOLS, D.ESTEVE M.BRUT, A.ESTEVE, G.LANDA, G.RENVEZ, M.DJAFARI ROUHANI, M.VAISSET, D.GAUCHARD A.BOUNOUH, H.CAMON, D.BELIERES, F.BLARD, F.ZIADE C.ROSSI, M.SANCHEZ, F.MATHIEU, X.DOLLAT, S.CHARLOT, V.CONEDERA A. A. MESSAOUD, A. CHIKOUCHE, A.ESTEVE, G.LANDA, M.DJAFARI ROUHANI M.PETRANTONI, A.HEMERYCK, J.M.DUCERE, A.ESTEVE, C.ROSSI, M.DJAFARI ROUHANI, D.ESTEVE, G.LANDA M.PETRANTONI, C.ROSSI, V.CONEDERA, D.BOURRIER, P.ALPHONSE, C.TENAILLEAU M.CHAN, E.CAMPO, D.ESTEVE, J.Y.FOURNIOLS M.CHAN, E.CAMPO, D.ESTEVE F.RACHEDI, R.GUILET, P.COGNET, J.TASSELLI, A.MARTY, P.DUBREUIL RVSI09723 J.M.DUCERE, A.HEMERYCK, A.ESTEVE, M.DJAFARI ROUHANI, G.LANDA, P.MENINI, C.TROPIS, A.MAISONNAT, P.FAU, B.CHAUDRET RVSI09826 C.LAVELLE, P.RECOUVREUX , H.WONG, A.BANCAUD, J.L.VIOVY, A.PRUNELL, J.M.VICTOR RVSI09946 D.PELLION, K.JRADI, F.MOUTIER, F.OMSELISABELAR, C.MAGENC, D.ESTEVE, A.R.BAZER-BACHI, T.CAMPS RVSI09947 D.PELLION, K.JRADI, A.LE PADELLEC, A.RENNANE, F.MOUTIER, V.BORREL, D.ESTEVE, C.MAGENC, A.R.BAZER-BACHI RVSI10044 V.BARDINAL, B.REIG, T.CAMPS, E.DARAN, J.B.DOUCET, C.TURCK, J-P.MALVAL, DJ.LOUGNOT, O.SOPPERA RVSI10060 D.J.MICHALAK, S.RIVILLON, D. AUREAU, M.DAI, A.ESTEVE, Y.J.CHABAL RVSI10079 T.CAMPS, V.BARDINAL, E.HAVARD, M.CONDE, C.FONTAINE, G.ALMUNEAU, L.SALVAGNAC, S.PINAUD, J.B.DOUCET RVSI10086 H.PEZOUS, C.ROSSI, M.SANCHEZ, F.MATHIEU, X.DOLLAT, S.CHARLOT, L.SALVAGNAC, V.CONEDERA RVSI101004 M.BRUT, A.ESTEVE, G.LANDA, A.DKHISSI, G.RENVEZ, M.DJAFARI ROUHANI, D.GAUCHARD RVSI10277 M.PETRANTONI, A.HEMERYCK, J.M.DUCERE, A.ESTEVE, C.ROSSI, M.DJAFARI ROUHANI, D.ESTEVE, G.LANDA A mesoscopic model of the intermixing during Journal of Physics and Chemistry of Solids, Vol.71, nanoenergetic materials processing N°2, pp.125-129, Février 2010 Capacitive RF MEMS analytical predictive Microelectronics Reliability, Vol.49, N°9-11, pp.1304reliability and lifetime characterization 1308, Août 2009, doi:10.1016/j.microrel.2009.06.049 Deformation of thiolated nucleic acid deposited Materials Science and Engineering: B, Vol.169, N°1on a silicon surface: a static mode approach 3, pp.23-27, Mai 2010 MEMS AC Voltage reference for miniaturized Computer Standards & Interfaces, Vol.33, N°2, instrumentation and metrology pp.159-164, Février 2011 Fabrication, assembly and tests of a MEMS Journal of Physics and Chemistry of Solids, Vol.71, based safe, arm and fire devices N°2, pp.75-79, Février 2010 Atomic Scale Siimulation of Thin Film Growth by International Journal of Recent Trends in Kinetic Monte Carlo Method Engineering, Vol.2, N°2, pp.85-88, Novembre 2009 Periodic Boundary versus Quantum cluster Approaches in the Simulation of a Nanoenergetic Model-System : Ni/Al (111) Surface Reactions Synthesis process of nanowired Al/CuOx thermite Journal of Physics and Chemistry of Solids, Vol.71, N°2, pp.130-133, Février 2010 Journal of Physics and Chemistry of Solids, Vol.71, N°2, pp.80-83, Janvier 2010 Smart Homes - Current Features and Future Maturitas-An international journal of midlife heath perspectives and beyond, Vol.64, N°2, pp.90-96, 20 Octobre 2009 Smart homes - indoor and outdoor experiments Clinician and Technology, Vol.41, N°3, 4p., Novembre 2011 Microreactor for Acetone Deep Oxidation over Chemical Engineering and Technology , Vol.32, Platinium N°11, pp.1766-1773, 12 Octobre 2009 A computational chemist approach to Gas Journal of Computational Chemistry, Vol.33, N°3, sensors: modeling the response of SnO2 to CO, pp.247-258, Janvier 2012, DOI: 10.1002/jcc.21959 O2, and H2O gases Right-Handed Nucleosome: Myth or Reality? Cell, Vol.139, N°7, pp.1216-1217, 24 Décembre 2009 APD photodetectors in the Geiger photon Nuclear Instruments and Methods in Physics counter mode Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment, Vol.610, N°1, pp.410-414, Octobre 2009 Geiger avalanche photodiodes as tentative light Experimental Astronomy, Vol.27, N°3, pp.187-195, detectors for VHE gamma ray astronomy Décembre 2009 A microtip self-written on a vertical-cavity Applied Physics Letters, Vol.96, 051114p., Février surface-emitting laser by photopolymerization 2010 Nanopatterning Si(111) surfaces as a selective Nature Materials, Vol.9, N°3, pp.266-271, 10 Janvier surface-chemistry route 2010 Management of the electrical injection uniformity European Physical Journal D, Vol.59, N°1, pp.53-57, in broad-area top-emitting VCSELs Juillet 2010 Integration of a MEMS based Safe Arm and Fire Sensors and Actuators A: physical , Vol.159, N°2, device pp.157-167, Mai 2010 Atomic-scale determination of DNA Tetrahedron, Vol.66, N°47, pp.9123-9128, Novembre conformational response to strained furanose: a 2010 static mode approach Asymmetric diffusion as a key mechanism in Journal of Vacuum Science & Technology A: Vacuum Ni/Al energetic multilayer processing Surfaces and Films, Vol.28, N°6, pp.L15-L17, Novembre 2010 Scientific Production — 159 RVSI10278 RVSI10333 RVSI10404 RVSI10418 RVSI10441 RVSI10442 RVSI10504 M.PETRANTONI, C.ROSSI, L.SALVAGNAC, V.CONEDERA, C.TENAILLEAU, P.ALPHONSE, K.ZHANG E.DAGUE, R.BITTAR, H.RANCHON, F.DURAND, H.M. YKEN, J.M.FRANCOIS V.BARDINAL, B.REIG, T.CAMPS, E.DARAN, C.LEVALLOIS, C.VERGNENEGRE, T.LEICHLE, G.ALMUNEAU, J.B.DOUCET H.PEZOUS, C.ROSSI, M.SANCHEZ, F.MATHIEU, X.DOLLAT, S.CHARLOT, V.CONEDERA V.N.PHAN, N-T. NGUYEN , C. YANG, P.JOSEPH, L.DJEGHLAF, D.BOURRIER, A.M.GUE V.N.PHAN, P.JOSEPH, L.DJEGHLAF, A.ALLOUCH, D.BOURRIER, P.ABGRALL, A.M.GUE, C. YANG, N-T. NGUYEN M.C.AUDRY, A.PIEDNOIR, P.JOSEPH, E.CHARLAIX Multilayered Al/CuO thermite by reactive Journal of Applied Physics, Vol.108, N°8, 084323p., magnetron sputtering: nano versus micro Novembre 2010 An atomic force microscopy analysis of yeast Yeast, Vol.27, N°8, pp.673-684, Août 2010 mutans defective in cell wall architecture Spotted custom lenses to tailor the divergence IEEE Photonics Technology Letters, Vol.22, N°21, of vertical-cavity surface-emitting lasers pp.1592-1594, Novembre 2010 Fabrication, assembly and tests of e MEMS Journal of Physics and Chemistry of Solids, Vol.71, based safe, arm and fire device N°2, pp.75-79, Février 2010 Capillary filling in closed end nanochannels Langmuir, Vol.26, Septembre 2010 N°16, pp.13251-13255, Capillary filling in nanochannels - Modeling, Heat Transfer Engineering, Vol.32, N°7-8, pp.624fabrication and experiments 635, Novembre 2011 Amplification of electro-osmotic flows by wall Faraday Discussions, slippage: direct measurements on OTS-surfaces Septembre 2010 Vol.146, pp.113-124, RVSI10529 K.JRADI, D.PELLION, D.ESTEVE, Computer-Aided-Design (CAD) model for silicon Nuclear Instruments & Methods in Physics Research J.L.BOIZARD, A.LE PADELLEC, avalanche Geiger mode systems design: A, Vol.626-627, pp.77-81, Janvier 2011 A.R.BAZER-BACHI application to high sensitivity imaging systems RVSI10534 D.PECH, M.BRUNET, H.DUROU, P.HUANG, V.MOCHALIN, Y.GOGOTSI, P.L.TABERNA, P.SIMON C.CASENAVE, G.MONTSENY, H.CAMON, F.BLARD RVSI10545 RVSI10563 RVSI10648 RVSI10751 RVSI10752 RVSI10771 RVSI10788 RVSI10799 RVSI10805 RVSI10836 RVSI11073 RVSI11074 M.MATMAT, K.KOUKOS, F.COCCETTI, T.IDDA, A.MARTY, C.ESCRIBA, J.Y.FOURNIOLS, D.ESTEVE R.BOSSUYT, L.MAZENQ, V.CONEDERA, J.BALLET, A.M.GUE, J.P. CANO, H.CAMON I.EL GMATI, P.F.CALMON, A.BOUKABACHE, P.PONS, H.BOUSSETTA, M.A.KALLALA, KBESBES I.EL GMATI, P.F.CALMON, R.FULCRAND, P.PONS, A.BOUKABACHE, H.BOUSSETTA, M.A.KALLALA, KBESBES A.BOUNOUH, F.BLARD, H.CAMON, D.BELIERES I.EL GMATI, P.F.CALMON, A.BOUKABACHE, P.PONS, R.FULCRAND, S.PINON, H.BOUSSETTA, M.A.KALLALA, KBESBES M.OLSZACKI, C.MAJ, M.AL BAHRI, J.C.MARROT, A.BOUKABACHE, P.PONS, A.NAPIERALSKI H.ZHOU, A.M.GUE Ultrahigh-power micrometre-sized Nature Nanotechnology, Vol.5, N°9, pp.651-654, supercapacitors based on onion-like carbon Septembre 2010 Identification of dynamic nonlinear thermal transfers for precise correction of bias induced by temperature variations Life expectancy and characterization of capacitive RF MEMS switches Microsystem Technologies, Vol.17, N°4, pp.645-654, Avril 2011 Microelectronics Reliability, Vol.50, N°9-11, pp.16921696, Octobre 2010 A multilevel polymer process for direct Microsystems Technologies, Vol.18, N°2, pp.175encapsulation of fluids in microfluidic systems 182, Janvier 2012 Liquid RF MEMS variable inductor Procedia Engineering, Décembre 2010 Variable RF MEMS fluidic inductor incorporating Micro Nano Letters, lamination process Décembre 2010 Development of electromechanical architectures for ac voltage metrology Fabrication and evaluation of on chip liquid micro-variable inductor Experimental verification of temperature coefficients of resistance for uniformly doped Ptype resistors in SOI Simulation model and droplet ejection performance of a thermal-bubble microejector S.FETAH, A.DKHISSI, A.ESTEVE, First-principles study of near surface point M.DJAFARI ROUHANI, G.LANDA, defects stability in Si (100) and SiGe (100) P.POCHET, A.CHICOUCHE M.BRUT, A.ESTEVE, G.LANDA, Atomic scale determination of enzyme flexibility M.DJAFARI ROUHANI, and active site stability through static modes: M.VAISSET case of Dihydrofolate Reductase I.ZAHI, P.MUR, P.BLAISE, Multi-scale modelling of silicon nanocrystals A.ESTEVE, M.DJAFARI synthesis by low pressure chemical vapor ROUHANI, H.VERGNES, deposition B.CAUSSAT Scientific Production — 160 Vol.5, Vol.5, pp.1380-1383, N°6, pp.370-373, Sensors & Transducers Journal, Vol.123, N°12, pp.115, Décembre 2010 Journal of Micromechanics and Microengineering, Vol.21, N°2, 025018p., Février 2011 Journal of Micromechanics and Microengineering, Vol.20, N°6, 064008p., Juin 2010 Sensors and Actuators B: Chemical, Vol.145, N°1, pp.311-319, Mars 2010 Thin Solid Films, Vol.518, N°9, pp.2418-2421, Février 2010 The journal of physical chemistry. B, Vol.115, N°7, pp.1616-1622, Février 2011 Thin Solid Films, Vol.519, N°22, pp.7650-7658, Septembre 2011 RVSI11114 RVSI11205 RVSI11206 RVSI11209 RVSI11252 RVSI11253 RVSI11254 RVSI11256 RVSI11277 RVSI11315 J.M.DUCERE, A.ESTEVE, G.LANDA, M.DJAFARI ROUHANI, D.ESTEVE A.AMRANI, M.DJAFARI ROUHANI, A.MRAOUFEL G.RENVEZ, A.ESTEVE, M.BRUT, G.LANDA, M.DJAFARI ROUHANI, A.DKHISSI R.BOSSUYT, L.MAZENQ, V.CONEDERA, J.BALLET, A.M.GUE, J.P. CANO, H.CAMON H.HAJJOUL, J.MATHON, Y.VIERO, A.BANCAUD Q.HE, F.SEVERAC, H.HAJJOUL, Y.VIERO, A.BANCAUD K. NG, N.DAIGLE, A.BANCAUD, T.OHHATA F.SEVERAC, P.ALPHONSE, A.ESTEVE, A.BANCAUD, C.ROSSI S.KSOURI, M.MATMAT, H.BOUKABACHE, C.ESCRIBA, J.Y.FOURNIOLS I.ZAHI, C.ROSSI, V.FAUCHEUX RVSI11330 E.AL ALAM, I.CORTES, M.P.BESLAND, A.GOULLET, L.LAJAUNIE, P.REGRENY, Y.CORDIER, J.BRAULT, A.CAZARRE, K.ISOIRD, G.SARRABAYROUSE, F.MORANCHO RVSI11344 C.MASTAIL, C.LANTHONY, S.OLIVIER, J.M.DUCERE, A.DKHISSI, G.LANDA, A.ESTEVE, M.DJAFARI ROUHANI, N.RICHARD A.ALI MESSAOUD, A. CHIKOUCHE, A.ESTEVE, A.HEMERYCK, C.LANTHONY, C.MASTAIL, M.DJAFARI ROUHANI, N.RICHARD C.LANTHONY, J.M.DUCERE, A.ESTEVE, C.ROSSI, M.DJAFARI ROUHANI V.BARDINAL, T.CAMPS, B.REIG, D.BARAT, E.DARAN, J.B.DOUCET RVSI11348 RVSI11350 RVSI11381 RVSI11403 RVSI11443 RVSI11528 RVSI11536 RVSI11537 RVSI11540 RVSI11549 RVSI11562 RVSI11573 M.CHAN, D.ESTEVE, J.Y.FOURNIOLS, C.ESCRIBA, E.CAMPO C.LAVELLE, A.BANCAUD, P.RECOUVREUX , M.BARBI, J.M.VICTOR, J.L.VIOVY M.BRUT, A.ESTEVE, D.ESTEVE, G.LANDA, M.DJAFARI ROUHANI N.NASREDDINE, J.L.BOIZARD, C.ESCRIBA, J.Y.FOURNIOLS N.NASREDDINE, J.L.BOIZARD, J.Y.FOURNIOLS, C.ESCRIBA C.ESCRIBA, J.Y.FOURNIOLS, M.LASTAPIS, J.L.BOIZARD, G.AURIOL, S.ANDRIEU V.N.PHAN, N-T. NGUYEN , C. YANG, P.JOSEPH, A.M.GUE R.INGLES BORT, J.PALLARES, I.GRACIA, A.M.GUE, J.L.RAMIREZ R.FULCRAND, A.BANCAUD, C.ESCRIBA, Q.HE, S.CHARLOT, A.BOUKABACHE, A.M.GUE Tail effect on trihydroxysilanes dimerization: a dispersion-corrected density functional theory study A Monte Carlo investigation of Gallium and Arsenic migration on GaAs(100) surface The electrostatic probe: a tool for the investigations of the Abéta(1-16) peptide deformations using the static modes A multilevel polymer process for liquid direct encapsulation of fluids in microfluidic systems Surface Science, Vol.606, N°1-2, pp.7-11, Janvier 2012, DOI: http://dx.doi.org/10.1016/j.nano.2011.08.009 Applied Nanoscience, Vol.1, N°1, pp.59-65, Juin 2011 Physical Chemistry Chemical Physics, Vol.13, N°32, pp.14611-14616, Décembre 2011 Optimized micromirrors for 3D single-particle tracking in living cells Directed assembly of nanoparticles along predictable large scale patterns using micromolded hydrogels A system for imaging the regulatory noncoding Xist RNA in living mouse embryonic stem cells High energy Al/CuO nanocomposites obtained by DNA-directed assembly Applied Physics Letters, Vol.98, N°24, pp.243701-1243701-3, Novembre 2011 Langmuir, Vol.27, N°11, pp.6598-6605, Mai 2011 Damage detection composite laminates aeronautics structures through accelerometers network Micro PEM fuel cell current collector design and optimization with CFD 3D modeling Effect of surface preparation and interfacial layer on the quality of GaN/SiO2 interfaces Advances in Materials Sciences, Vol.11, N°2, pp.3743, Juin 2011 Microsystem Technologies, Vol.18, N°2, pp.175-182, Février 2012 Molecular Biology of the Cell, Vol.22, N°14, pp.26342645, Juillet 2011 Advanced Functional Materials, Vol.22, N°2, pp.323329, Janvier 2012, DOI: 10.1002/adfm.201100763 International Journal of Hydrogen Energy, Vol.36, N°22, pp.14562-14572, Novembre 2011 Journal of Applied Physics, Vol.109, N°8, 084511p., Avril 2011 Introducing densification mechanisms into the Thin Solid Films, Vol.520, N°14, pp.4559-4563, Mai modelling of HfO2 atomic layer deposition 2012 Defect generation during silicon oxidation: a Thin Solid Films, Vol.520, N°14, pp.4734-4740, Mai kinetic Monte Carlo study 2012 Formation of Al/CuO bilayer films: basic Thin Solid Films, Vol.520, N°14, pp.4768-4771, Mai mechanisms through density functional theory 2012 calculations Collective micro-optics technologies for VCSEL Advances in Optical Technologies, Vol.2011, photonic integration N°paper ID 609643, 11p., Novembre 2011 Smart wearable systems: current status and Artificial Intelligence in Medicine, Vol.56, N°3, pp.137future challenges 156, Novembre 2012 Chromatin topological transitions Progress of theoretical physics, Vol.191, pp.30-39, Décembre 2011 Mimicking DNA stretching with the Static Mode Method: shear stress versus transverse pulling stress Embedded FPGA simulator for wireless Sensor network design Channel transmission behavioral modeling dedicated to WSNs New real-time structural health monitoring microsystem for aircraft propeller blades The European Physical Journal E, art. 75, Vol.35, N°8, Août 2012 Fabrication and experimental characterization of nanochannels Electro-thermal simulation and characterization of preconcentration membranes Journal of Energy and Power Engineering, Vol.6, N°6, pp.984-992, Juin 2012 Journal of Energy and Power Engineering, Vol.6, N°7, pp.1042-1049, Juillet 2012 IEEE Aerospace and Electronic Systems Magazine, Vol.27, N°2, pp.29-41, Février 2012 Journal of Heat Transfer , Vol.134, N°5, 051012p., Mai 2012 Sensors and Actuators A: Physical, Vol.172, N°1, pp.124-128, Décembre 2011, doi:10.1016/j.sna.2011.03.025 On chip magnetic actuator for batch-mode Sensors and Actuators B: Chemical, Vol.160, N°1, dynamic manipulation of magnetic particles in pp.1520-1528, Décembre 2011 compact lab-on-chip Scientific Production — 161 RVSI11592 RVSI11614 RVSI11615 RVSI11616 RVSI11646 RVSI11721 RVSI12118 RVSI12133 RVSI12153 RVSI12165 RVSI12221 RVSI12228 RVSI12234 RVSI12245 RVSI12266 RVSI12302 RVSI12398 RVSI12422 RVSI12506 RVSI12547 RVSI12591 W.MOU, S.OHMURA, A.HEMERYCK, F.SHIMOJO, R.K.KALIA, A.NAKANO, P.VASHISHTA Y.VIERO, Q.HE, A.BANCAUD A.BANCAUD, C.LAVELLE, S.HUET, J.ELLENBERG Y.VIERO, Q.HE, L.MAZENQ, H.RANCHON, J.Y.FOURNIOLS, A.BANCAUD H.DUROU, D.PECH, D.COLIN, P.SIMON, P.L.TABERNA, M.BRUNET G.AURIOL, C.BARON, J.Y.FOURNIOLS J.KWON, J.M.DUCERE, M.PETRANTONI, P.ALPHONSE, M.M.BAHRAMI, J.F.VEYAN, C.TENAILLEAU, A.ESTEVE, C.ROSSI, Y.J.CHABAL B.REIG, T.CAMPS, V.BARDINAL, D.BOURRIER, E.DARAN, J.B.DOUCET, J.LAUNAY, J.Y.FOURNIOLS T.CAMPS, J.TASSELLI, J.LUBIN, D.LAGRANGE, L.BOUSCAYROL, A.MARTY N.FAZOUAN, E.ATMANI, F.EL KASRI, M.DJAFARI ROUHANI, D.ESTEVE N.FOURTY, Y.CHARLON, E.CAMPO S.PINON, D.L.DIEDHIOU, A.M.GUE, N.FABRE, G.PRIGENT, V.CONEDERA, E.RIUS, C.QUENDO, B.POTELON, J.F.FAVENNEC, A.BOUKABACHE W.BOURENNANE, Y.CHARLON, F.BETTAHAR, M.CHAN, D.ESTEVE, E.CAMPO F.CHAUVET, S.GEOFFROY, A.HAMOUMI, M.PRAT, P.JOSEPH M.BRUT, A.TRAPAIDZE, A.ESTEVE, A.BANCAUD, D.ESTEVE, G.LANDA, M.DJAFARI ROUHANI C.MASTAIL, I.BOURENNANE, A.ESTEVE, G.LANDA, M.DJAFARI ROUHANI, N.RICHARD, A.HEMERYCK D.BARAT, V.BARDINAL, I.DIKA, O.SOPPERA, P.DEBERNARDI, A.RUMYANTSEVA, B.REIG, M.RENAULT, T.CAMPS, A.BRUYANT, J.B.DOUCET, JP.MALVAL, E.DARAN C.LANTHONY, J.M.DUCERE, M.DJAFARI ROUHANI, A.HEMERYCK, A.ESTEVE, C.ROSSI H.BOUKABACHE, C.ESCRIBA, S.ZEDEK, D.MEDALE, S.ROLET, J.Y.FOURNIOLS J.CRATTELET, S.GHNIMI, P.DEBREYNE, I.ZAID, A.BOUKABACHE, D.ESTEVE, L.AURET, L.FILLAUDEAU F.KERROUR, A.BOUKABACHE, P.PONS Effects of solvation shells and cluster size on the AIP Advances, Vol.1, N°4, pp.042149-1-042149-13, reaction of aluminium clusters with water Novembre 2011 Hydrodynamic manipulation of DNA in nanopost Small, Vol.7, N°24, pp.3508-3518, Décembre 2011 arrays: unhooking dynamics and size separation A fractal model for nuclear organization: current evidence and biological implications Efficient prototyping of large-scale pdms and silicon nanofluidic devices using pdms-based phase-shift lithography Wafer-level fabrication process for fully encapsulated micro-supercapacitors with high specific energy The advantages of systems engineering standards, a case study of application of the EIA632 standard to design of a small-scale yacht Interfacial chemistry in Al/CuO reactive nanomaterial and its role in exothermic reaction Nucleic Acids Research, Vol.40, N°18, pp.87838792, Décembre 2012 Microfluidics and Nanofluidics, Vol.12, N°1-4, pp.465473, Janvier 2012 Microsystem Technologies, Vol.18, N°4, pp.467-473, Avril 2012 International Journal of Engineering and Industries, Vol.2, N°3, pp.40-56, Septembre 2011 Applied Materials and Interfaces, Vol.5, N°3, pp.605613, Février 2013 Fabrication of polymer-based optical Journal of Micromechanics and Microengineering, microsystems arrays suited for the active Vol.22, N°6, 065006p., Juin 2012 focusing of vertical laser diodes Development of polysilicon devices microfluidic thermal instrumentation for Sensors and Actuators A: Physical, Vol.189, pp.6773, Janvier 2013 Interface structure of deposited GaSb on GaAs (001): Monte Carlo simulation and experimental study Embedded wireless system for pedestrian localization in indoor environments Development of a microsystem based on microfluidic network to tune and reconfigure RF circuits Journal of Materials Science, Vol.47, N°4, pp.16841689, Avril 2012 Sensors & Transducers Journal, Vol.14-2, pp.211227, Février 2012, Special Issue Journal of Micromechanics and Microengineering , Vol.22, N°7, 074005p., Juillet 2012 Ambient intelligence for monitoring Alzheimer International Journal of E-Health and Medical patients Communications, Vol.4, N°1, pp.23-35, Janvier 2013 Roles of gas in capillary filling of nanoslits Soft Matter, Vol.8, N°41, pp.10738-10749, Octobre 2012 Bringing aptamers into technologies: impact of Applied Physics Letters, Vol.100, N°16, 163702p., spacer terminations Avril 2012 Oxidation of germanium and silicon surfaces IOP Conference Series: Materials Science and (100): a comparative study throught DFT Engineering, Vol.41, 012007p., Décembre 2012, methodology doi:10.1088/1757-899X/41/1/012007 Photo-chemical study and optical properties of Optics Express, Vol.20, N°20, pp.22922-22933, microtips self-written on vertical laser diodes Septembre 2012 using NIR photo-polymerisation On the early stage of aluminium oxidation: an Journal of Chemical Physics, extraction mechanism via oxygen cooperation 094707p., Septembre 2012 System on chip integration of a new electrochemical impedance calculation method for aircraft structures health monitoring On-line local thermal pulse analysis sensor to monitor fouling and cleaning: application to dairy product pasteurization with a cell jet joule-effect heater Modelling of thermal behavior N-Doped silicon resistor Scientific Production — 162 Vol.137, N°9, Sensors, Vol.12, N°10, pp.13617-13635 Journal of Food Engineering, Vol.119, N°1, pp.72-83, Novembre 2013 Journal of Sensor Technology, Vol.2, N°3, pp.132137, Septembre 2012 RVSI12594 A.HEMERYCK, A.MOTTA, J.SWIATOWSKA, C.PEREIRANABAIS, P.MARCUS, D.COSTA Diaminoethane adsorption and water Physical Chemistry Chemical Physics, Vol.15, N°26, substitution on hydrated TiO2: a thermochemical pp.10824-10834, Juillet 2013 study based on first-principles calculations RVSI12630 F.DUBOSC, D.BOURRIER, T.LEICHLE E.AL ALAM, I.CORTES, T.BEGOU, A.GOULLET, F.MORANCHO, A.CAZARRE, P.REGRENY, J.BRAULT, Y.CORDIER, M.P.BESLAND, K.ISOIRD Y.CHARLON, W.BOURENNANE, F.BETTAHAR, E.CAMPO A.PIAU, E.CAMPO, P.RUMEAU, B.VELLAS, F.NOURHASHEMI H.BOUKABACHE, C.ESCRIBA, S.ZEDEK, J.Y.FOURNIOLS Fabrication of lateral porous silicon membranes Procedia Engineering , Vol.47, pp.801-804, 2012, for planar microfluidic devices Seul le résumé est disponible Comparison of electrical behavior of GaN-based Materials Science Forum, Vol.711, pp.228-232, 2012 MOS structures obtained by different PECVD process RVSI12812 RVSI13028 RVSI13040 RVSI13055 RVSI13106 RVSI13151 RVSI13162 RVSI13183 RVSI13277 RVSI13278 RVSI13283 RVSI13284 RVSI13351 Activity monitoring system for elderly in a context of smart home Aging society and gerontechnology: a solution for an independent living ? Wavlet decomposition based diagnostic for structural health monitoring on metallic aircrafts: case of crack triangulation and corrosion detection D.BARAT, V.BARDINAL, I.DIKA, Microlens self-writing on vertical laser diodes by O.SOPPERA, A.RUMYANTSEVA, near Infra-red photo-polymerization B.REIG, M.RENAULT, A.BRUYANT, J.B.DOUCET, T.CAMPS, J-P.MALVAL, E.DARAN M.M.BAHRAMI, G.TATON, Magnetron Sputtered Al-CuO nanolaminates: V.CONEDERA, L.SALVAGNAC, morphology, chemical composition and effects of C.TENAILLEAU, P.ALPHONSE, stoichiometry, layers thickness on energy J.ESVAN, C.ROSSI release and flame propagation W.BOURENNANE, Y.CHARLON, Homecare monitoring system: A technical F.BETTAHAR, E.CAMPO, proposal for the safety of the elderly D.ESTEVE experimented in an Alzheimer's care unit A.HEMERYCK, J.M.DUCERE, Bottom-up modeling of Al/Ni multilayer C.LANTHONY, A.ESTEVE, combustion: Effect of intermixing and role of C.ROSSI, M.DJAFARI ROUHANI, vacancy defects on the ignition process D.ESTEVE Q.HE, H.RANCHON, Conformational manipulation of DNA in P.CARRIVAIN, Y.VIERO, nanochannels using hydrodynamics J.LACROIX, MC.BLATCHE, E.DARAN, J.M.VICTOR, A.BANCAUD S.HUET, C.LAVELLE, Relevance and limitations of crowding, fractal H.RANCHON, P.CARRIVAIN, and polymer models to describe nuclear J.M.VICTOR, A.BANCAUD architecture: is a unified picture out of reach ? B.ALBERT, J.MATHON, Systematic characterization of the conformation A.SHUKLA, H.SAAD, and dynamics of budding yeast chromosome XII C.NORMAND, I.LEGERSILVESTRE, D.VILLA, A.KAMGOUE, J.MOZZICONACCI, H.WONG, C.ZIMMER, P.BHARGAVA, A.BANCAUD, O.GADAL H.HAJJOUL, J.MATHON, High throughput chromatin motion tracking in H.RANCHON, I.GOIFFON, living yeast reveals the flexibility of the fiber J.MOZZICONACCI, B.ALBERT, throughout the genome P.CARRIVAIN, J.M.VICTOR, O.GADAL, K.BYSTRICKY, A.BANCAUD The Journal of nutrition, health & aging, Vol.18, N°1, pp.97-112, Janvier 2014 International Journal of Prognostics and Health Management, Vol.4, N°1, 13p., Mars 2013 Microelectronic Engineering, Vol.111, pp.204-209, Novembre 2013 Propellants, Explosive, Pyrotechnics, Vol.39, N°3, Juin 2014 IRBM, Vol.34, N°2, pp.92-100, Avril 2013 Journal of Applied Physics, Vol.113, N°20, 204301p., Mai 2013 Macromolecules, Vol.46, N°15, pp.6195-6202, Août 2013 International Review of Cell and Molecular Biology, Vol.307, pp.443-479, Janvier 2014 The Journal of Cell Biology, Vol.202, N°2, pp.201210, Juillet 2013 Genome research, Vol.23, N°11, pp.1829-1838, Novembre 2013 RVSI13378 Y.CHARLON, N.FOURTY, E.CAMPO Impedimetric immunosensor for the detection of circulating pro-inflammatory monocytes as infection markers Design and evaluation of a device worn for fall detection and localization: Application for the continuous monitoring of risks incurred by dependents in an Alzheimer’s care unit A telemetry system embedded in clothes for indoor localization and elderly health monitoring RVSI13427 Y.VIERO, Q.HE, M.FOUET, A.BANCAUD Single molecule study of DNA collision with Electrophoresis, elliptical nanoposts conveyed by hydrodynamics Décembre 2013 RVSI13488 S.JOUANNEAU, L.RECOULES, M.J.DURAND, A.BOUKABACHE, V.PICOT, Y.PRIMAULT, A.LAKEL, M.SENGELIN, B.BARILLON, G.THOUAND Methods for assessing biochemical oxygen Water Research, Vol.49, pp.62-82, Février 2014 demand (BOD): a review RVSI13377 A.MONTROSE, S.CARGOU, F.NEPVEU, R.MANCZACK, A.M.GUE, K.REYBIER Y.CHARLON, N.FOURTY, W.BOURENNANE, E.CAMPO IRBM, Vol.34, N°1, pp.60-63, Mars 2013 Scientific Production — 163 Biosensors and Bioelectronics, Vol.49, pp.305-311, Novembre 2013 Expert Systems with Applications, Vol.40, N°18, pp.7316-1330, Décembre 2013 Sensors, Vol.13, N°9, pp.11728-11749, Septembre 2013 Vol.34, N°24, pp.3300-3304, RVSI13655 RVSI14082 RVSI14116 RVSI14221 RVSI14298 RVSI14324 [RVSN] Ref G.TATON, D.LAGRANGE, V.CONEDERA, L.RENAUD, C.ROSSI T.T.VU, M.FOUET, A.M.GUE, J.SUDOR R.SEYMOUR, A.HEMERYCK, K.NOMURA, W.WANG, R.K.KALIA, A.NAKANO, P.VASHISHTA I.EL GMATI, P.F.CALMON, A.BOUKABACHE, P.PONS, H.BOUSSETTA, M.A.KALLALA, KBESBES C.LEE, C.COTTIN-BIZONNE, A.L.BIANCE, P.JOSEPH, L.BOCQUET, C.YBERT M.BRUT, A.ESTEVE, G.LANDA, M.DJAFARI ROUHANI RVSN12605 RVSN12790 RVSN13163 [RVSII] Ref Osmotic flow nanochannels through fully Sensors and Actuators B: Chemical, Vol.196, pp.6470, Juin 2014 Applied Physics Letters, Vol.104, N°14, 141904p., Avril 2014 permeable Physical Review Letters, Vol.112, N°24, 244501p., Juin 2014 Toward in silico biomolecular manipulation Journal of Physical Chemistry B, Vol.118, N°11, through static modes: atomic scale pp.2811-2830, Mars 2014 characterization of HIV-1 protease flexibility Published in: Logiciel Hikad pour modéliser l'organisation des Techniques de l'Ingénieur, Vol.RE 123, pp.Re 123-1atomes durant la croissance de HfO2 sur RE 123-12, Avril 2009 silicium Systèmes nanofluidiques. Technologies et Techniques de l'Ingénieur, N°NM250, pp.1-15, 2009, applications Dossiers Nanosciences et Nanotechnologies Microplumes robotisées pour la fabrication de Techniques de l'Ingénieur, N°RE 138, pp.RE 138-1microlentilles en polymère RE 138-9, Février 2010 Étude ab initio des premières étapes de Chocs Avancés, l’oxydation du silicium Avancées Conception de transistors MOS latéraux de puissance en nitrure de gallium Microcomposants électromécaniques pour la réalisation de références de tension en courant alternatif J.CRATTELET, L.FILLAUDEAU, Micro-capteur pour la mesure en ligne et en L.AURET, L.SALVAGNAC, continu de l'encrassement dans les procédés A.BOUKABACHE, D.ESTEVE industriels et de traitement de l'eau E.CAMPO, D.ESTEVE, M.CHAN Conception d'un habitat adapté pour l'aide à l'autonomie des personnes âgées. Designing a suitable home for elderly assisted living A.PIAU, V.RIALLE Evaluation des technologies pour l'autonomie et la santé à domile: complexité et exigence méthodologique E.CAMPO, X.DARAN, A.VAN DEN Conception d'une plateforme pluridisciplinaire BOSSCHE ouverte et évolutive pour l'évaluation des technologies d'aide au maintien à domicile Invited paper (journal) -‐international-‐ Authors Title RVSII10085 C.ROSSI, A.ESTEVE, P.WASHISHTA RVSII14111 C.ROSSI Journal of Micromechanics and Microengineering, Vol.23, N°10, 105009p., Octobre 2013 RF MEMS continuous reversible variable Microsystem Technologies, Vol.20, N°6, pp.1085inductor based on a microfluidic network 1091, Juin 2014 Scientific Journals -‐national-‐ Authors Title RVSN08630 A.ESTEVE, M.DJAFARI ROUHANI, A.DKHISSI, C.MASTAIL, G.LANDA, A.HEMERYCK, N.RICHARD RVSN09172 P.ABGRALL, A.BANCAUD, P.JOSEPH RVSN09398 V.BARDINAL, C.VERGNENEGRE, E.DARAN, J.B.POURCIEL, J.B.DOUCET, T.CAMPS RVSN09958 A.HEMERYCK, A.ESTEVE, N.RICHARD, M.DJAFARI ROUHANI, Y.J.CHABAL RVSN10024 E.AL ALAM, F.MORANCHO, A.CAZARRE RVSN10772 A.BOUNOUH, F.BLARD, H.CAMON, D.BELIERES, F.ZIADE RVSN11617 Micro-chip initiator realized by integrating Al/CuO multilayer nanothermite on polymeric membrane A new and easy surface functionalization technnology for monitoring wettability in heterogeneous nano- and microfluidic devices Nanoindentation of NiAl and Ni3Al crystals on (100), (110), and (111) surfaces: a molecular dynamics study pp.24-25, Août 2009, Chocs Revue de l'Electricité et de l'Electronique (REE), N°67, pp.23-26, Juillet 2010 Revue Française de Métrologie, Vol.2011-1, N°25, pp.17-29, Juillet 2011 Instrumentation, Mesure, Métrologie (I2M), Vol.11, N°3-4, pp.107-130, Juin 2011 Les Cahiers de l'Année Gérontologique, Vol.4, pp.356-363, Décembre 2012, DOI: 10.1007/s12612012-0313-7 Les Cahiers de l'Année Gérontologique, Vol.4, N°4, pp.364-370, Décembre 2012 JNRIUT, N°4, 8p., Mai 2013 Published in: Journal of Physics and Chemistry of Solids, Vol.71, N°2, pp.57-58, Février 2010 Two decades of research on nanoenergetic Propellants, Explosive, Pyrotechnics, Vol.39, N°3, materials Juin 2014 Nano Scale Energetic Materials Scientific Production — 164 MNBT theme: Micro Nano Bio Technologies Papers in collaboration with other themes Theme nb papers ref HOPES CII10388, CII12114, CIN11677, CIN13521, MAI09555, MAI09582, MAI09582, 70 MAI09614, MAI09615, MAI09616, MAI09616, MAI09621, MAI09658, MAI09693, MAI09704, MAI09705, MAI09823, MAI09824, MAI09952, MAI09956, MAI10413, MAI10415, MAI10563, MAI10563, MAI10577, MAI10611, MAI11024, MAI11159, MAI11221, MAI11290, MAI11420, MAI11545, MAI11792, MAI12299, MAI12478, MAI12810, MAI12811, MAI12811, MAN09093, MAN09219, MAN09260, MAN10924, MAN10976, MAN11182, MAN11183, MAN11249, MAN12818, MSI09791, MSN10593, RVSI09508, RVSI09582, RVSI09582, RVSI09616, RVSI09616, RVSI10079, RVSI10307, RVSI10404, RVSI10563, RVSI10563, RVSI10753, RVSI10777, RVSI10799, RVSI10943, RVSI11381, RVSI11569, RVSI12398, RVSI12811, RVSI12811, RVSI13106, RVSI13533 GE CII10993, MAI09582, MAI09582, MAI09611, MAI09611, MAI09707, MAI09710, 30 MAI09710, MAI10611, MAI10803, MAI11054, MAI11057, MAI11749, MAN09706, MSI12306, MSN13157, MSN13181, OPI10440, RVSI08614, RVSI08631, RVSI09582, RVSI09582, RVSI09611, RVSI09611, RVSI09710, RVSI09710, RVSI10555, RVSI10641, RVSI11330, RVSI11566 RC MAI13254 1 Rob MAI14052 1 NII AFFI10349, CII10388, CII10993, CII11200, CII12114, CII13336, CII13456, 58 CIN11677, MAI09529, MAI10389, MAI10563, MAI10563, MAI11029, MAI11030, MAI11105, MAI11220, MAI11290, MAI12148, MAI12478, MAI12479, MAI12630, MAI12630, MAI14120, MAI14123, MAI14124, MAN10924, MAN13344, MSI09527, MSI10288, MSI10383, MSN09387, MSN09528, MSN10496, MSN10591, MSN10593, MSN13181, OPI10440, RVSI09378, RVSI09723, RVSI10044, RVSI10079, RVSI10333, RVSI10404, RVSI10441, RVSI10442, RVSI10563, RVSI10563, RVSI10799, RVSI11253, RVSI11256, RVSI11330, RVSI11381, RVSI12133, RVSI12398, RVSI12630, RVSI12630, RVSI13106, RVSN09398 Paper summary for MNBT theme AFFI Poster -‐international-‐ Invited paper (conference) -‐international-‐ CII Invited paper (conference) -‐national-‐ CIN Conferences with published proceedings -‐international-‐ MAI Conferences with published proceedings -‐national-‐ MAN Conferences without proceedings -‐international-‐ MSI Conferences without proceedings -‐national-‐ MSN Books (author) -‐international-‐ OAI Books (editor) -‐international-‐ OEI Books (contribution) -‐international-‐ OPI Books (contribution) -‐national-‐ OPN Scientific Journals -‐international-‐ RVSI Scientific Journals -‐national-‐ RVSN Scientific Production — 165 9 29 4 190 16 23 19 1 1 9 1 215 3 MNBT Theme publications [AFFI] Poster -‐international-‐ Ref Authors Title Published in: AFFI101013 A.RYZHIKOV, P.FAU, M.L.KAHN, Gas sensor for food industry and agriculture Cross-border Meeting of Sensors and Biosensors K.FAJERWERG, B.CHAUDRET, based on ZnO nanoparticles and nanorods 2010 du 16 septembre au 17 septembre 2010, Sant P.MENINI, C.H.SHIM, A.GAUDON Carles de la Rapita (Espagne), Septembre 2010, 1p. AFFI10349 AFFI13769 AFFI13773 AFFI14054 AFFI14131 AFFI14237 AFFI14238 AFFI14302 F.SEVERAC, A.BANCAUD, C.ROSSI, A.ESTEVE, M.DJAFARI ROUHANI, J.M.DUCERE Z.ESSA, F.CRISTIANO, Y.SPIEGEL, Y.QIU, P.BOULENC, M.QUILLEC, N.TALEB, N.ZOGRAPHOS, E.BEDELPEREIRA, V.MORTET, A.BURENKOV, M.HACKENBERG, F.TORREGROSA, C.TAVERNIER Y.QIU, F.CRISTIANO, Z.ESSA, K.HUET, M.QUILLEC, G.FISICARO, A.LA MAGNA V.CASTAGNOLA, E.DESCAMPS, MC.BLATCHE, L.G.NOWAK, C.BERGAUD M.T.BOUDJIET, J.BERTRAND, L.MAZENQ, T.LEICHLE, L.NICU, C.PELLET, I.DUFOUR D.BOURRIER, Y.HE, T.LEICHLE DNA directed self-assembling of Al-CuO nanothermite composite: toward multifunctional energetic layers Large boron-interstitial clusters modelling in BF3 plasma implanted silicon Parylene-based flexible neural probes with Biosensors 2014 du 27 mai au 30 mai 2014, PEDOT coated surface for brain stimulation and Melbourne (Australie), Mai 2014, 2p. recording Sensitivity optimization of silicon microcantilever-Annual International Workshop on Nanomechanical based density sensor for hydrogen detection Sensing ( NMC ) 2014 du 30 avril au 02 mai 2014, Madrid (Espagne), Avril 2014, 2p. Porous silicon membranes integrated into planar Biosensors 2014 du 27 mai au 30 mai 2014, microfluidics for on-chip sample filtration Melbourne (Australie), Mai 2014, 2p. P.TEERAPANICH, M.PUGNIERE, Determination of protein binding kinetics using a Biosensors 2014 du 27 mai au 30 mai 2014, Y.L.LIN, C.F.CHOU , T.LEICHLE simple slit-like nanofluidic biosensor Melbourne (Australie), Mai 2014, 2p. H.TANG, A.BELTRAN, K.MARCH, Nanoanalytical investigations at the interface of MRS Spring Meeting 2014 du 21 avril au 25 avril V.MORTET, E.BEDEL-PEREIRA, 4H-SiC/SiO2 MOSFETs 2014, San Francisco (USA), Avril 2014, 3p. F.CRISTIANO, C.STRENGER, A.JBAUER, S.SCHAMMCHARDON Invited paper (conference) -‐international-‐ Authors Title CII101002 P.F.FAZZINI, E.SARACCO, G.LARRIEU, N.CHERKASHIN, S.REBOH, J.F.DAMLENCOURT, M.HYTCH, A.CLAVERIE, F.CRISTIANO V.BARDINAL, B.REIG, T.CAMPS, D.BARAT, E.DARAN, J.B.DOUCET, C.TURCK, JP.MALVAL, D-J.LOUGNOT, O.SOPPERA P.F.FAZZINI, E.M.BAZIZI, F.PANCIERA, S.PAUL, W.LERCH, A.PAKFAR, C.AHN, N.BENNETT, N.E.B.COWERN, J.M.HARTMANN, F.CRISTIANO C.ROSSI, H.DUROU, B.JAMMES, A.RAMOND, I.ZAHI, A.HEMERYCK, A.ESTEVE, M.DJAFARI ROUHANI, F.SEVERAC, M.PETRANTONI, J.M.DUCERE, L.ASSOUERE, M.BAFLEUR, M.BRUNET, J.M.DILHAC C.ROSSI, M.PETRANTONI, M.M.BAHRAMI, G.TATON, F.SEVERAC, A.BERBER, A.ESTEVE, A.BANCAUD E.DAGUE CII10500 CII10993 CII11200 CII11414 CII11481 CII11773 E-MRS Spring Meeting 2013 du 27 mai au 31 mai 2013, Strasbourg (France), Mai 2013, 4p. Investigation of large BICs formation in laser- E-MRS Spring Meeting 2013 du 27 mai au 31 mai annealed B+- implanted silicon 2013, Strasbourg (France), Mai 2013, 1p. (Résumé) [CII] Ref CII10388 Gordon Research Conferences: Energetic Materials, Tilton (USA), 13-18 Juin 2010, 1p. (Résumé) Published in: Strain measurement at the nanoscale by E-MRS Fall Meeting 2010 du 13 septembre au 17 Transmission Electron Microscopy septembre 2010, Varsolvie (Pologne), Septembre 2010, 1p. Micro-optics on photopolymers VCSELs using NIR SPIE Photonics Europe, Bruxelles (Belgique), 12-16 Avril 2010, 12p. Extended defects evolution in ion implanted 18th International Conference on Ion Implantation SiGe alloys and Germanium Technology (ITT 2010), Kyoto (Japon), 6-11 Juin 2010, 15p. Micro and Nano Power Systems Overview of Southeast Asian International Advances in LAAS activities Micro/nanotechnology Workshop 2010 du 08 mars au 11 mars 2010, Bangkok (Thailande), Mars 2010 Multifunctional nano-energetical material on chip International Workshop on Pyrotechnic Combustion mechanisms (WPC 2011), Reims (France), 16-19 Mai 2011, 3p. Applications of AFM in nanomedecine: from NanoBioVIEWS 2011, Berlin (Allemagne), 5-6 microbiology to cardiology Octobre 2011, 1p. K.GRENIER, D.DUBUC, T.CHEN, Microfluidic on-chip for biomedical applications IEEE Bipolar/BiCMOS Circuits and Technology T.CHRETIENNOT, M.POUPOT, Meeting, Atlanta (USA), 9-11 Octobre 2011, pp.129J.J.FOURNIE 132 C.VIEU Soft nanopatterning of biomolecules for Nanofabrication Symposium , Barcelone (Espagne), biochips, biology and biophysics: tools, 8 Novembre 2011, 1p. processes and applications Scientific Production — 166 CII11774 C.VIEU CII11776 C.VIEU CII11780 C.VIEU CII12114 V.BARDINAL, T.CAMPS, B.REIG, P.DEBERNARDI, O.SOPPERA, D.BARAT, J.B.DOUCET, E.DARAN CII12326 G.LARRIEU CII12451 CII12503 F.CRISTIANO, Z.ESSA, Y.QIU, Y.SPIEGEL, F.TORREGROSA, P.BOULENC, C.TAVERNIER, O.COJOCARU, D.BLAVETTE, D.MANGELINCK, P.F.FAZZINI F.CRISTIANO, Z.ESSA, Y.QIU, Y.SPIEGEL, F.TORREGROSA, J.DUCHAINE, P.BOULENC, C.TAVERNIER, O.COJOCARU, D.BLAVETTE, D.MANGELINCK, P.F.FAZZINI, M.QUILLEC, E.M.BAZIZI, M.HACKENBERG, S.BONINELLI G.LARRIEU CII12504 G.LARRIEU CII12601 K.GRENIER, D.DUBUC, T.CHEN, T.CHRETIENNOT, F.ARTIS, M.POUPOT, J.J.FOURNIE CII12629 L.NICU, T.LEICHLE CII12685 K.GRENIER, D.DUBUC, T.CHEN, M.POUPOT, J.J.FOURNIE CII12768 G.LARRIEU, M.GRAEF CII12803 W.LERCH, W.KEGEL, J.NIESS, A.GSCHWANDTNER, J.GELPEY, F.CRISTIANO V.BARDINAL, T.CAMPS, B.REIG, J.B.DOUCET, S.ABADA, E.DARAN C.M.SOTOMAYOR TORRES, J.AHOPELTO, M.GRAEF, A.CAPPY, G.LARRIEU, T.SWAHN, G.WENDIN, D.WINKLER, P.GRABIEC, G.FAGAS, R.POPP, W.ROSENSTIEL CII12452 CII13336 CII13428 CII13456 CII14244 V.BARDINAL, T.CAMPS, S.ABADA, B.REIG, J.B.DOUCET, E.DARAN K.GRENIER, D.DUBUC CII14278 K.GRENIER, D.DUBUC CII14281 K.GRENIER, D.DUBUC Nanobiotechnologies an interdisciplinary activity 75th Anniversaire de l'Institut Polytechnique National from basic knowledge to applications du Mexique, Mexico (Mexique), 14-16 Novembre 2011, 13p. Vers une éducation citoyenne aux 24èmes Entretiens Jacques Cartier, Montréal nanotechnologies (Canada), 3-4 Octobre 2011, 1p. (Résumé) Safe design and public engagement around Franco-British worshop on responsible innovation. nanotechnologies From concept to practice, Londres (UK), 23-24 Mai 2011, 13p. VCSEL beam control with collective and self SPIE Photonics West, San Francisco (USA), 21-26 aligned polymer technologies Janvier 2012, 9p. Vertical nanowire array for nanoelectronics International Conference on Nanosciences & Nanotechnologies (NN12), Thessaloniki (Grèce), 3-6 Juillet 2012, 1p. (Résumé) Residual structural defects in highly activated International Conference on Ion Implantation implanted USJs by advanced processes: Technology (IIT'2012), Valladolid (Espagne), 25-29 millisecond annealing and plasma implants Juin 2012, 1p. Implantation-induced structural defects in highly International Workshop on Junction Technology activated USJs: Boron precipitation and trapping (IWJT 2012), Shanghai (Chine), 14-15 Mai 2012, 7p. in pre-amorphised silicon Technology & design for nanoelectronics: the European Solid-State Device Research Conference case of nanowire transistor (ESSDERC 2012), Bordeaux (France), 17-21 Septembre 2012, 1p. (Résumé) Fabrication of semiconductor nanowire arrays E-MRS Fall Meeting, Varsovie (Pologne), 17-21 for nanoelectronic applications Septembre 2012, 1p. (Résumé) Microwave biosensors for living cells analysis European Microwave Week ( EuMC ) 2012 du 28 octobre au 02 novembre 2012, Amsterdam (Pays Bas), Workshop 15: Biomedical Applications of Microwaves, 2012, 10p. Nanoelectromechanical systems for biology: International Semiconductor Conference ( CAS ) where to go from now ? 2012 du 14 octobre au 17 octobre 2012, Sinaia (Roumanie), 2012, 6p. Microwave dielectric spectroscopy: an emerging IEEE Topical Conference on Biomedical Wireless analyzing technique for biological investigations Technologies, Networks, and Sensing Systems ( at the cellular level IEEE BioWireleSS ) 2013 du 20 janvier au 23 janvier 2013, Austin (USA), Janvier 2013, pp.40-42 Technology and design for devices with charge NANO-TEC Workshop 2012 du 05 novembre au 07 as state variable novembre 2012, Barcelone (Espagne), Novembre 2012, 4p. Scaling requires continuous innovation in ECS Meeting 2012 du 06 mai au 10 mai 2012, thermal processing: low-temperature plasma Seattle (USA), Mai 2012, 11p. oxidation bonding and 3D integration Polymer optical MEMS integrated on VCSELs Optical MEMS and Nanophotonics ( OMN ) 2013 du for biosensing 18 août au 22 août 2013, Kanazawa (Japon), Août 2013, 2p. Beyond CMOS: NANO-TEC project International Conference on ULtimate Integration On recommendations for research in Silicon ( ULIS ) 2013 du 19 mars au 21 mars 2013, nanoelectronics Warwick (UK), Mars 2013, 4p. Polymer microoptics for VCSEL beam control Miniature microwave and millimeter wave-based biosensors: which foreseen biomedical applications ? Miniaturized microwave and millimeter wave biodetection for molecular and cellular characterization Microwave measurements for biological materials analysis Scientific Production — 167 Microoptics Conference ( MOC ) 2013 du 27 octobre au 30 octobre 2013, Tokyo (Japon), Octobre 2013, 2p. IEEE International Microwave Symposium ( IMS ) 2014 du 01 juin au 06 juin 2014, Tampa Bay (USA), WME-7, Juin 2014, 1p. IEEE International Microwave Symposium ( IMS ) 2014 du 01 juin au 06 juin 2014, Tampa Bay (USA), WSN-4, Juin 2014, 1p. ARFTG Conference 2014 du 16 juin au 16 juin 2014, Tampa (USA), Juin 2014, 1p. CII14294 F.CRISTIANO, Y.QIU, E.BEDELPEREIRA, K.HUET, F.MAZZAMUTO, G.FISICARO, A.LA MAGNA, M.QUILLEC, N.CHERKASHIN, H.WANG, S.DUGUAY, D.BLAVETTE [CIN] Ref Invited paper (conference) -‐national-‐ Authors Title CIN11677 CIN13073 V.BARDINAL, B.REIG, D.BARAT, T.CAMPS, E.DARAN, J.B.DOUCET G.LARRIEU CIN13343 C.GORECKI, V.BARDINAL CIN13521 P.PONS, H.AUBERT, P.MENINI, E.TENTZERIS [MAI] Ref Conferences with published proceedings -‐international-‐ Authors Title MAI08719 T.NOULIS, G.FIKOS, S.SISKOS, G.SARRABAYROUSE MAI08720 Extended defects in ion-implanted Si during International Workshop on Junction Technology ( nanosecond laser annealing IWJT ) 2014 du 18 mai au 20 mai 2014, Shanghai (Chine), Mai 2014, 6p. Micro-optique verticales Published in: intégrée dur diodes laser HORIZONS de l'Optique, Marseille (France), 4-7 Juillet 2011, 2p. MOS à base de nanofils verticaux pour Journée Thèmatique du GDR System On Chipapplications en nanoélectronique System In Package ( GDR SOC-SIP ) 2013 du 25 février au 25 février 2013, Bordeaux (France), Février 2013, 15p. MEMS et microoptique pour l'instrumentation Journées Nano, Micro et Optoélectronique ( JNMO ) optique sur puce 2013 du 21 mai au 23 mai 2013, Evian (France), Mai 2013, pp.13-14 Capteurs passifs sans fil à transduction Journées Nationales Microondes ( JNM ) 2013 du électromagnétique 14 mai au 17 mai 2013, Paris (France), Mai 2013, 2p. Folded cascode amplifying structure evaluation in terms of the used IC process in radiation detection front end applications T.NOULIS, V.KALENTERIDIS, Radiation detection low frequency CMOS S-G S.SISKOS, G.SARRABAYROUSE shaper using transconductance circuits MAI09122 E.DAGUE Nanotechnologies for exploring living cells MAI09206 T.NOULIS, S.SISKOS, G.SARRABAYROUSE, L.BARY MAI09393 C.TROPIS, P.MENINI, A.MARTINEZ, N.YOBOUE, B.FRANC, F.BLANC, P.FADEL, D.LAGRANGE, P.FAU, A.MAISONNAT S.POIRIER, L.FADEL-TARIS, P.TEMPLE BOYER Current mode charge sensitive amplifying technique providing noise performance independent of the radiation detector capacitance Characterization of dynamic measurement with nanoparticular SnO2 gas sensors MAI09421 MAI09422 MAI09529 MAI09555 MAI09582 MAI09611 MAI09613 MAI09614 MAI09615 S.POIRIER, L.FADEL-TARIS, P.TEMPLE BOYER, S.VINSONNEAU J.M.DUCERE, A.HEMERYCK, A.ESTEVE, M.DJAFARI ROUHANI, G.LANDA, C.TROPIS, P.MENINI, A.MAISONNAT, P.FAU, B.CHAUDRET H.HALLIL, P.MENINI, H.AUBERT J.RUAN, N.NOLHIER, G.J.PAPAIOANNOU, D.TREMOUILLES, V.PUYAL, C.VILLENEUVE-FAURE, T.IDDA, F.COCCETTI, R.PLANA K.GALICKA-FAU, M.ANDRIEUX, C.LEGROS, I.GALLET, M.BRUNET, E.SCHEID, S.SCHAMM-CHARDON C.MAJ, M.OLSZACKI, M.AL BAHRI, E.SCHEID, A.NAPIERALSKI C.MAJ, M.OLSZACKI, M.AL BAHRI, P.PONS, A.NAPIERALSKI Temperature compensation for the stabilization of inkjet printing process Study and stabilization of a liquid crystal drop formation using a piezoelectric inkjet printhead CO and CO2 detection by SnO2: a DFT study Published in: 1st International Conference on Technology and Instrumentation in Particle Physics (TIPP'09), Tsukuba (Japon), 11-17 Mars 2009, 2p. 1st International Conference on Technology and Instrumentation in Particle Physics (TIPP'09), Tsukuba (Japon), 11-17 Mars 2009, 2p. 2nd ESF/UB European Summer School in Nanomedecine, Lisbonne (Portugal), 12-16 Juin 2009, 1p. (Résumé) 3rd IEEE International Workshop on Advances in Sensors and Interfaces (IWASI 2009), Trani (Italie), 25-26 Juin 2009, 5p. 20th MicroMechanics europe Workshop (MME 2009), Toulouse (France), 20-22 Septembre 2009, 4p. 20th MicroMechanics Europe workshop (MME 2009), Toulouse (France), 20-22 Septembre 2009, 4p. 25th International Conference on Digital Printing Technologies (NIP25 ), Louisville (Etats-Unis), 20-25 Septembre 2009, pp.279-282 E-MRS Spring Meeting 2009, Strasbourg (France), 812 Juin 2009, 1p. (Résumé) Novel millimeter-wave gas sensor using dielectric resonator with sensitive layer on TiO2 Accelerated lifetime test of FR-MEMS switches under ESD stress IEEE SENSORS 2009, Christchurch (Nouvelle Zélande), 25-28 Octobre 2009, pp.226-228 20th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2009), Arcachon (France), 5-9 Octobre 2009 ZrO2 Thin Films Grown On 2D and 3D Silicon Surfaces By DLI-MOCVD For Electronic Devices EuroCVD-17, Vienne (Autriche), 4-9 Octobre 2009, 8p. Parasitic phenomena in electrostatic actuators based on sealed cavity fabricated with waferbonding technology Optimization of electrostatic membrane-based actuators and characterization of technological process using analytical model M.OLSZACKI, C.MAJ, M.AL Experimental verification of TCR coefficients for BAHRI, P.PONS, A.NAPIERALSKI uniformly doped resistors Scientific Production — 168 XXIII Eurosensors (EUROSENSORS 2009), Lausane (Suisse), 6-9 Septembre 2009, 4p. 20th MicroMechanics Europe workshop (MME 2009), Toulouse (France), 20-22 Septembre 2009 20th MicroMechanics Europe workshop (MME 2009), Toulouse (France), 20-22 Septembre 2009 MAI09616 M.OLSZACKI, C.MAJ, M.AL BAHRI, P.PONS, J.C.MARROT, A.NAPIERALSKI C.MAJ, M.OLSZACKI, M.AL BAHRI, P.PONS, A.NAPIERALSKI The effect of parasitic doping on P-type piezoresistors Electrochemical Properties of Integrated Microelectrodes MAI09704 C.CHRISTOPHE, J.LAUNAY, P.TEMPLE BOYER, P.GROS, L.SALVAGNAC, V.CONEDERA, E.QUESTEL H.HALLIL, P.MENINI, H.AUBERT MAI09705 H.HALLIL, P.MENINI, H.AUBERT MAI09707 G.SARRABAYROUSE, M.GAVELLE, S.SISKOS MAI09710 A.LUU, P.AUSTIN, N. BUARD, T.CARRIERE, P.POIROT, R.GAILLARD, M.BAFLEUR, G.SARRABAYROUSE T.NOULIS, S.SISKOS, G.SARRABAYROUSE, L.BARY MAI09621 MAI09658 MAI09693 MAI09700 MAI09713 MAI09823 MAI09824 MAI09878 MAI09917 MAI09930 MAI09950 MAI09952 MAI09956 MAI09969 MAI09970 MAI09971 MAI09972 XXIII Eurosensors (EUROSENSORS 2009), Lausane (Suisse), 6-9 Septembre 2009, 4p. Analytical model of electrostatic membranebased actuators Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE 2009), Delft (Pays Bas), 27-29 Avril 2009, 6p. M.AL BAHRI, P.PONS, P.MENINI Thermal behavior of silicon capacitive pressure 20th MicroMechanics Europe workshop (MME sensors using electrostatic pressure 2009), Toulouse (France), 20-22 Septembre 2009, 4p. F.PENNEC, D.PEYROU, D.LERAY, DC Contact Modeling of Electrostatically 20th MicroMechanics Europe Workshop (MME C.VILLENEUVE-FAURE, Actuated Switches with Low Voltage 2009), Toulouse (France), 20-22 Septembre 2009, A.COUSTOU, P.F.CALMON, 4p. P.PONS, R.PLANA, F.COURTADE 20th MicroMechanics europe Workshop (MME 2009), Toulouse (France), 20-22 Septembre 2009, 4p. New microwave gas detector using dielectric 39th European Microwave Conference (EuMA resonator based on a Whispering-Gallery-Mode 2009), Rome (Italie), 28 Septembre-2 octobre 2009, pp.1097-1100 Novel Microwave Gas sensor using Dielectric Eurosensors XXIII conference, Lausannne (Suisse), Resonator With SnO2 Sensitive Layer 6-9 Septembre 2009, 4p. Influence of Border Traps on the Determination International Conference on Signals, Circuits and of the Minimum Temperature Coefficient Current Systems (SCS'09), Djerba (Tunisie), 6-8 Octobre in High Sensitivity MOSv Radiation Dosimeters 2009, pp.1-5 Sensitive volume and triggering criteria of SEB in classic planar VDMOS European Conference on Radiation and Its Effects on Components and Systems (RADECS 2009), Bruges (Belgique), 14-18 Septembre 2009, pp.552558 Topical Workshop on Electronics for PArticle physics (TWEPP-09), 21-25 Septembre 2009, pp.413-416 Novel Charge Sensitive Amplifier Design Methodology suitable for Large Detector Capacitance Applications C.VILLENEUVE-FAURE, P.PONS, Optimization of MEMS gold bridge planarization 20th MicroMechanics europe Workshop (MME R.PLANA 2009), Toulouse (France), 20-22 Septembre 2009, 4p. C.VILLENEUVE-FAURE, Low tressed gradient in gold micromachined 20th MicroMechanics europe Workshop (MME S.AOUBA, M.DILHAN, cantilevers 2009), Toulouse (France), 20-22 Septembre 2009, D.BOURRIER, P.PONS, R.PLANA 4p. N.YOBOUE, P.MENINI, C.TROPIS, Performances of a new generation of metal P.FAU, A.MAISONNAT oxide gas sensor based on nanostructuredSnO2 and on high operating temperature microhotplate G.FERON, S.DENIS, C.SALLES, Online measurement of in vitro food nutrients P.MIELLE, C.VERGOIGNAN, delivery: a feasibility study consisting to use A.BENYAHIA, P.TEMPLE BOYER specific sensors for the following of some physic parameters and NaCl and glutamate release during digestion C.VIEU, J.CHALMEAU, Assembling a biological nanomotor on a nanoC.THIBAULT engineered surface J.F.BOBO, B.WAROT-FONROSE, Perylene-based organic spin valves: influence of C.VILLENEUVE-FAURE, E.BEDEL- the Co deposision process on transport PEREIRA, I.SEGUY properties P.YAMEOGO, U.ZAGHLOUL Self Calibrating pressure sensor for biomedical HEIBA, M.AL BAHRI, P.PONS applications L.RABBIA, V.PERRUT, P.PONS, Self-assembled monolayers deposition in D.LELLOUCHI supercritical carbon dioxide E.M.BAZIZI, A.PAKFAR, PD-SOI MOSFETs: interface effect on point P.F.FAZZINI, F.CRISTIANO, defects and doping profiles C.TAVERNIER, A.CLAVERIE, A.BURENKOV, P.PICHLER E.M.BAZIZI, A.PAKFAR, Comparison between 65nm bulk and PD-SOI P.F.FAZZINI, F.CRISTIANO, MOSFETs: Si/BOX interface effect on point C.TAVERNIER, A.CLAVERIE, defects and doping profiles A.BURENKOV, P.PICHLER P.F.FAZZINI, F.CRISTIANO, Effect of Germanium content and strain on the E.TALBOT, G.BEN ASSAYAG, formation of extended defects in ion implanted S.PAUL, W.LERCH, A.PAKFAR, Silicon/Germanium J.M.HARTMANN E.M.BAZIZI, A.PAKFAR, Transfer of physically-based models from P.F.FAZZINI, F.CRISTIANO, process to device simulations: Application to C.TAVERNIER, A.CLAVERIE, advanced SOI MOSFETs N.ZOGRAPHOS, C.ZECHNER Scientific Production — 169 20th Workshop on Micromachining, Micromechanics and Microsystems - MME 2009, Toulouse (France), 20-22 Septembre 2009 International Symposium delivery of Functionality in Complex Food Systems, Wageningen (Pays Bas), 18-21 Octobre 2009, 1p. (Résumé) Trends in Nanotechnology (TNT 2009), Barcelone (Espagne), 7-11 Septembre 2009, 2p. E-MRS Fall Meeting 2009, Varsovie (Pologne), 1418 Septembre 2009, 3p. IEEE SENSORS 2009, Christchurch (Nouvelle Zélande), 25-28 Octobre 2009, 4p. MicroMechanics Europe Conference 2009, Toulouse (France), 20-22 Septembre 2009, 4p. IEEE International SOI Conference 2009 du 05 octobre au 08 octobre 2009, San Diego (USA), Octobre 2009, 2p. European Solid-State Device Research Conference ( ESSDERC ) 2009 du 14 septembre au 18 septembre 2009, Athènes (Grèce), Septembre 2009, 4p. E-MRS Spring Meeting 2009 du 08 juin au 12 juin 2009, Strasbourg (France), Juin 2009, 16p. E-MRS Spring Meeting 2009 du 08 juin au 12 juin 2009, Strasbourg (France), Juin 2009, 9p. MAI09973 MAI09974 MAI10010 MAI10094 MAI101012 MAI10121 MAI10122 MAI10195 MAI10203 MAI10211 MAI10215 MAI10216 MAI10334 MAI10378 MAI10389 S.DUGUAY, M.NGAMO, P.F.FAZZINI, F.CRISTIANO, K.DAOUD-KETATA, P.PAREIGE N.E.B.COWERN, N.BENNETT, C.AHN, J.C.YOON, S.HAMM, W.LERCH, H.KHEYRANDISH, F.CRISTIANO, A.PAKFAR K.GRENIER, D.DUBUC, PEPOLENI, M.KUMEMURA, H.TOSHIYOSHI, T.FUJII, H.FUJITA F.MESNILGRENTE, V.CONEDERA, N.YOBOUE, P.MENINI, N.FABRE N.YOBOUE, P.MENINI, A.MAISONNAT, M.L.KAHN, K.FAJERWERG, B.CHAUDRET, P.FAU T.NOULIS, A.VOULKIDOU, S.SISKOS, G.SARRABAYROUSE Atomic scale study of a MOS structure with an ultra-low energy boron-implanted silicon substrate Overlayer stress effects on defect formation in Si and Ge E-MRS Spring Meeting 2009 du 08 juin au 12 juin 2009, Strasbourg (France), Juin 2009, 16p. Resonant based microwave biosensor for biological cells discrimination IEEE Radio and Wireless Symposium (RWS 2010), Nouvelle Orleans (USA), 11-13 Janvier 2010, 4p. Geometric control of inkjet printing features using ethylene glycol and diethylene glycol in ZnO nanoparticules ink When organometallic chemistry and metal oxide nanoparticles meet optimized silicon based gas sensor NanoTech 2010, Anaheim (USA), 21-25 Juin 2010, 2p. Current mode Low BW - Large Peaking time CMOS S-G Shaper using CA Building Cells IEEE Mediterranean Electrotechnical Conference (MELECON 2010), La Valette (Malte), 25-28 Avril 2010, pp.290-295 IEEE Mediterranean Electrotechnical Conference (MELECON 2010), La Valette (Malte), 25-28 Avril 2010, pp.896-901 SPIE Photonics West 2010, San Francisco (USA), 23-27 Janvier 2010, 9p. T.NOULIS, N.KAISERLIS, SiGe BiCOMOS CSA-Shaper radiation S.SISKOS, G.SARRABAYROUSE Detection Front end: Noise Performance and Noise Modelling V.CONEDERA, N.YOBOUE, Manufacturability of gas sensor with ZnO F.MESNILGRENTE, N.FABRE, nanoparticles suspension deposited by ink jet P.MENINI printing G.SARRABAYROUSE, S.SISKOS Behaviour of high sensitivity MOS radiation dosimeters biased in the MTC current region T.ALAVA, F.MATHIEU, D.REMIENS, C.SOYER, L.NICU MEMS-based multisensors platform with piezoelectric actuation and piezoresistive readout capabilities for biosensing applications S.SALOMON, L.NICU Dielectrophoretic characterization and sorting of multi-layer functionalized nanobeads L.NICU, T.ALAVA, F.MATHIEU, Actuation and sensing integration challenges at C.AYELA, C.SOYER, D.REMIENS the microscale: the Gordian Knot of the resonant bioMEMS realm E.DAGUE AFM and living cells: from immobilization to single molecule force spectroscopy W.SANT, P.TEMPLE BOYER, Dialyse dose monitoring using ChemFET-based E.CHANIE, J.LAUNAY, detection microsystems A.MARTINEZ B.REIG, T.CAMPS, D.BOURRIER, Design of active lens for VCSEL collimation E.DARAN, C.VERGNENEGRE, V.BARDINAL E-MRS Spring Meeting 2009 du 08 juin au 12 juin 2009, Strasbourg (France), Juin 2009, 6p. MRS Spring Meeting 2010 du 05 avril au 09 avril 2010, San Francisco (USA), Avril 2010, 6p. 9th WSEAS International Conference on Instrumentation Measurement Circuits and Systems (IMCAS 2010), Hangzhou (Chine), 11-13 Avril 2010, pp.38-41 BIOSENSORS 2010, Glasgow (UK), 26-28 Mai 2010, 1p. BIOSENSORS 2010, Glasgow (UK), 26-28 Mai 2010, 1p. IEEE International Frequency Control Symposium (IFCS 2010), Newport Beach (USA), 1-4 Juin 2010, 1p. (Résumé) AFM BioMed Conference, Red Island (Croatie), 1215 Mai 2010, 1p. BIOSENSORS 2010, Glasgow (Ecosse), 26-28 Mai 2010, 1p. (Résumé) SPIE Photonics Europe, Bruxelles (Belgique), 12-16 Avril 2010, 8p. MAI10402 M.FRAGOPOULOU, A neutron dosemeter based on a stack of two p- IEEE International Conference on Imaging Systems V.KONSTANTAKOS, MOSFETs and Techniques (IST 2010), Thessaloniki (Grèce), 1G.SARRABAYROUSE, S.SISKOS, 2 Juillet 2010, pp.71-74 T.LAOPOULOS, M.ZAMANI MAI10413 B.OUAGAGUE, F.COCCETTI, C.VILLENEUVE-FAURE, T.IDDA, R.PLANA B.OUAGAGUE, F.COCCETTI, C.VILLENEUVE-FAURE, R.PLANA MAI10415 Reconfigurable CRLH cells with new open-end stub and RF MEMS switches on silicon technology Experimental study of a modified silicon-based CRLH cell for enhanced reconfigurability European Microwave Week 2010 (EuMC 2010), Paris (France), 26 Septembre - 1 Octobre 2010, pp.1405-1408 IEEE International Symposium on Antennas and Propagation (AP-S 2010), Toronto (Canada), 11-17 Juillet 2010, 4p. Microfluidic-based tunable RF true-time delay European Microwave Week 2010 (EuMC 2010), line Paris (France), 26 Septembre - 1 octobre 2010, pp.1222-1224 Microwave signatures of Alive B-lymphoma cells IEEE Radio & Wireless Symposium (RWW suspensions 2011).BioWireleSS., Phoenix (USA), 16-19 Janvier 2011, pp.95-98 Life expectancy and characterization of European Symposium on the Reliability of Electron capacitive RF MEMS switches Devices Failure Physics and Analysis (ESREF 2010), Gaeta (Italie), 11-15 Octobre 2010, 6p. MAI10514 D.DUBUC, K.GRENIER MAI10515 D.DUBUC, K.GRENIER, M.POUPOT, J.J.FOURNIE MAI10563 M.MATMAT, K.KOUKOS, F.COCCETTI, T.IDDA, A.MARTY, C.ESCRIBA, J.Y.FOURNIOLS, D.ESTEVE A.CANALS, P.POURROUQUET, Dose measurements and Geant4 calculations C.CHATRY, B.AZAIS, P.CHARRE, on PMOS dosimeters manufactured with G.AURIEL, G.SARRABAYROUSE different oxide thicknesses and gate metals European Conference on Radiation and its Effects on Components and Systems (RADECS 2010), Langenfeld (Autriche), 20-24 Septembre 2010, 5p. A.DIALLO, L.MAZENQ, Monitoring impulsional PH variations in L.DJEGHLAF, J.LAUNAY, W.SANT, microvolumes: a new approach for the P.TEMPLE BOYER electrochemical detection IEEE International Conference on Micro Electro Mechanical Systems (MEMS 2011), Cancun (Mexique), 23-27 Janvier 2011, 4p. MAI10565 MAI10567 Scientific Production — 170 MAI10577 MAI10601 MAI10611 MAI10636 MAI10803 MAI10820 MAI10860 MAI10970 MAI10979 MAI10997 MAI11024 MAI11029 MAI11030 MAI11054 MAI11057 MAI11068 MAI11105 MAI11106 MAI11159 MAI11216 MAI11217 H.HALLIL, F.CHEBILA, P.MENINI, Feasibility of wireless gas detection with an P.PONS, H.AUBERT FMCW RADAR interrogation of passive RF gas sensor E.JAUVERT, E.TREVISIOL, A new strategy to functionalize AFM tips L.RESSIER, E.DAGUE J.RUAN, C.VILLENEUVE-FAURE, Push-pull seesaw principle capacitive RF-MEMS F.COCCETTI, P.PONS, shunt switch N.NOLHIER, R.PLANA M.PALOSSE, M.FISICHELLA, Spin-polarized transport in NiFe/PTCTE/Co E.BEDEL-PEREIRA, I.SEGUY, organic spin valves C.VILLENEUVE-FAURE, B.WAROT-FONROSE, J.F.BOBO H.TAHIR, A.BOURENNANE, A monolithically integrated bidirectional IGBT: J.L.SANCHEZ, effect of spatial IGBT elementary cells G.SARRABAYROUSE, repartitioning and technology of realization on E.IMBERNON device performance T.LEICHLE, K.T.LIAO, C.F.CHOU Shortening the diffusion length: real-time sensing with single-pixel resolved kinetics using room-temperature bonded biofunctional nanoslits C.CHRISTOPHE, F.SEKLI, Electrochemical microsensors: performance of J.LAUNAY, P.GROS, E.QUESTEL, integrated microelectrodes P.TEMPLE BOYER C.M.QUINTERO PINZON, Rational design of fluorescent spin-crossover G.MOLNAR, L.SALMON, nanoparticle for thermometry applications A.TOKAREV, C.BERGAUD, A.BOUSSEKSOU A.CERF, C.VIEU Nanopatterning at the service of single molecule assays E.M.BAZIZI, P.F.FAZZINI, F.CRISTIANO, A.PAKFAR, C.TAVERNIER, F.PAYET, T.SKOTNICKI, C.ZECHNER, N.ZOGRAPHOS, D.MATVEEV, N.E.B.COWERN, N.BENNETT, C.AHN, J.C.YOON C.VILLENEUVE-FAURE, S.PACCHINI, J.BAILLIEUX, M.DILHAN, D.COLIN, A.BROUZES, P.BOULANGER, R.PLANA A.TRAPAIDZE, A.BANCAUD, A.ESTEVE, F.SEVERAC, D.ESTEVE, A.M.GUE A.BERBER, F.SEVERAC, A.BANCAUD, C.ROSSI, A.ESTEVE, P.ALPHONSE A.BOURENNANE, H.TAHIR, J.L.SANCHEZ, E.IMBERNON, G.SARRABAYROUSE H.TAHIR, A.BOURENNANE, J.L.SANCHEZ, G.SARRABAYROUSE, L.PONT D.DUBUC, K.GRENIER Transfer of physically-based models from process to device simulations: application to advanced strained Si/SiGe MOSFETs IEEE Sensors, 2010 , Waikaloa (USA), 1-4 Novembre 2010, pp.759-762 Summer School on Single Molecule Biophysics, Louvain (Belgique), 16-19 Août 2010, 2p. International Symposiumon RF MEMS and RF Microsystems (MEMSWAVE 2010), Otranto (Italie), 28-30 Juin 2010, 4p. Magnetism and Magnetic Materials Conference (MMM 2010), Atlanta (USA), 14-18 Novembre 2010, 8p. International Power Electronics and Motion Control Conference (EPE-PEMC 2010), Orhid (Macédoine), 6-8 Septembre 2010, pp.29-33 International Conference for Chemistry and Life Sciences (MicroTAS 2010), Groningen (Pays Bas), 37 Octobre 2010, pp.494-496 Annual Meeting of the International Society of Electrochemistry (ISE 2010), Nice (France), 26 Septembre - 1 Octobre 2010, 1p. (Résumé) International Workshop on THERMal INvestigations of ICs and Systems (THERMINICS 2010), Barcelone (Espagne), 6-8 Octobre 2010, 3p. Biophysical Society Annual Meeting 2010 du 20 février au 24 février 2010, San Francisco (USA), Février 2010, 1p. International Electron Devices Meeting ( IEDM ) 2010 du 06 décembre au 08 décembre 2010, San Francisco (USA), Décembre 2010, 4p. Carbon nanotube-PMMA composite thin: application to water quality Colloque annuel du GDR-I GNT : Graphène et Nanotubes, Dourdan (France), 7-10 Février 2011, 1p. (Résumé) Two aptamer based hydrodynamic assay for protein detection E-MRS Spring Meeting 2011, Nice (France), 9-13 Mai 2011, 1p. DNA directed self-assembly of Al and CuO nanoParticles on chip for nanopower source E-MRS Spring Meeting 2011, Nice (France), 9-13 Mai 2011, 1p. High temperature wafer bonding technique for the realization of a voltage and current bidirectional IGBT A monolithically integrated vertical bidirectional IGBT having all the main electrodes on the front side Microwave and microfluidic based biosensors International Symposium on Power Semiconductor Devices & ICs (ISPSD 2011), San Diego (USA), 2326 Mai 2011, pp.140-143 Power Electronics and Applications (EPE 2011), Birmingham (UK), 30 Août - 1 Septembre 2011, pp.19 German Microwave Conference 2011 (GeMiC 2011), Darmstadt (Allemagne), 14-16 Mars 2011, 1p. S.SALOMON, T.LEICHLE, R.FULCRAND, D.BOURRIER, A.BOUKABACHE, A.M.GUE, L.NICU T.LEICHLE, C.F.CHOU A simple fabrication process for an efficient constriction-based dielectrophoretic continuous flow sorter Conference on Advances in Microfluidics and Nanofluidics and Asian-Pacific International Symposium on Lab on Chip (AMN-APLOC 2011), Singapour (Singapour), 5-7 Janvier 2011, 2p. IEEE International Conference on Nano/Micro Engineered and Molecular Systems (IEEE-NEMS 2011), Kaohsiung (Taiwan), 20-23 Février 2011, 4p. European Conference on Antennas and Propagation (EUCAP 2011), Rome (Italie), 11-15 Avril 2011, 5p. M.PALOSSE, E.BEDEL-PEREIRA, F.OLIVIE, I.SEGUY, C.VILLENEUVE-FAURE, T.BLON, C.GATEL, B.WAROT-FONROSE, J.F.BOBO S.GUILLON, D.SAYA, L.MAZENQ, S.PERISANU, P.VINCENT, L.NICU Towards a room temperature organic spin valve: MRS Spring Meeting and Exhibit, San Francisco structural, magnetics and transport properties of (USA), 25-29 Avril 2011, 6p. Fe3O4/PTCTE/Co devices Real-time sensing with single-pixel resolved kinetics using room-temperature bonded biofunctional nanoslits A.ADANE, F.GALLEE, C.PERSON, Implementation of broadband microstrip-U V.PUYAL, C.VILLENEUVEcoupled patch array on Si/BCB membrane for FAURE, D.DRAGOMIRESCU beamforming application at 60 GHz Fabrication and characterization of 100-nm wide IEEE International Conference on Nano/Micro silicon nanocantilevers using top-down Engineered and Molecular Systems (IEEE-NEMS approach 2011), Kaohsiung (Taiwan), 20-23 Février 2011, 2p. Scientific Production — 171 MAI11220 MAI11221 MAI11227 MAI11289 MAI11290 MAI11321 MAI11402 MAI11413 MAI11414 MAI11415 MAI11416 MAI11420 MAI11533 MAI11545 MAI11557 MAI11564 MAI11565 MAI11748 MAI11749 MAI11751 I.DUFOUR, A.MAALI, Y.AMAROUCHENE, C.AYELA, B.CAILLARD, A.DARWICHE, M.GUIRARDEL, H.KELLAY, E.LEMAIRE, F.MATHIEU, C.PELLET, D.SAYA, M.YOUSSRY, L.NICU, A.COLIN C.VILLENEUVE-FAURE, S.PACCHINI, M.DILHAN, D.COLIN, A.BROUZES, P.BOULANGER, R.PLANA G.HANGARD, A.CANALS, G.SARRABAYROUSE, D.LAVIELLE, C.CHATRY, A.LAPRIE T.LEICHLE, C.F.CHOU The microcantilever: a versatile tool for measuring fluid properties International Workshop on Nanomechanical Sensing (NMC 2011), Dublin (Irelande), 11-13 Mai 2011, 2p. Make carbon nanotube-PMMA composite thin: application to water quality MRS Spring Meeting and Exhibit, San Francisco (USA), 25-29 Avril 2011, 1p. Dosi-secire: a new wireless in vivo dosimeter for ESTRO International Oncology Forum, Londres external radiotherapy (UK), 8-12 Mai 2011, 1p. Nanoslit biosensor with single-pixel resolved kinetics capability: finite element method and experimental results B.REIG, T.CAMPS, V.BARDINAL, Polymer MEMS for the active control of VCSEL D.BARAT, E.DARAN, J.B.DOUCET beam International Conference on Solid-State Sensors, Actuators and Microsystems (TRANSDUCERS'11), Beijing (Chine), 5-9 Juin 2011, pp.2263-2266 Conference on Lasers and Electro-Optics Europe (CLEO 2011), Munich (Allemagne), 22-26 Mai 2011, 1p. S.GUILLON, D.SAYA, L.MAZENQ, Lead-zirconate titanate (PZT) nanoscale International Symposium on Applications of C.SOYER, D.REMIENS, patterning by ultraviolet-based lithographiy lift-off Ferroelectrics and International Symposium on J.COSTECALDE, L.NICU technique for nanoelectromechanical systems Piezoresponse Force Microscopy and Nanoscale applications Phenomena in Polar Materials (ISAF/PFM 2011), Vancouver (Canada), 24-27 Juillet 2011, 4p. S.LEMONIER, J.M.FRANCOIS, Temperature effect on yeast young's modulus AFM BioMed Conference 2011, Paris (France), 23E.DAGUE 27 Août 2011 C.FORMOSA, M.GRARE, Probing nanoscale effects of antibiotics on Interscience Conference on Antimicrobial Agents R.DUVAL, E.DAGUE Pseudomonas aeruginosa and Chemotherapy (ICAAC 2011), Chicago (USA), 17-20 Septembre 2011, 1p. E.DAGUE Applications of AFM in nanomedecine: from AFM BioMed Conference 2011, Paris (France), 23microbiology to cardiology 27 Août 2011, 1p. C.FORMOSA, M.GRARE, Nanoscale effects of antibiotics on P. aeruginosa AFM BioMed Conference, Paris (France), 23-27 R.DUVAL, E.DAGUE Août 2011, 1p. E.DAGUE, C.SEVERAC, Exploring by AFM the role of Ephrin-B1 as a AFM BioMed Conference 2011, Paris (France), 23G.GENET, C.GALES stabilizer of the mature cardiomyocyte 27 Août 2011, 1p. morphology P.ARGUEL, J.GRISOLIA, Projet LUMELEC: mariage de l'optique et de Colloque sur l'Enseignement des Technologies et J.LAUNAY, H.TAP, C.DUPRAT, l'électronique des Sciences de l'Information et des Systèmes C.CAPELLO, F.GESSINN, (CETSIS 2011), Trois Rivières (Canada), 23-26 F.GUERIN, C.ROUABHI Octobre 2011, 6p. G.LARRIEU, E.DUBOIS, CMOS integration using low thermal budget ECS Meeting and Electrochemical Energy Summit, D.DUCATTEAU dopant-segregated metallic S/D junctions on thin-Boston (USA), 9-14 Octobre 2011, 8p. body SOI J.G.TARTARIN, S.KARBOYAN, I-DLTS, electrical lag and low frequency noise European Microwave Week (EuMW 2011), F.OLIVIE, G.ASTRE, B.LAMBERT measurements of trapping effects in AlGaN/GaN Manchester (UK), 9-14 Octobre 2011, 4p. HEMT for reliability studies N.CLEMENT, G.LARRIEU, Low frequency noise in schottky barriers based MRS Spring Meeting 2012, San Francisco (USA), 9E.DUBOIS nanoscale field-effect transistors 13 Avril 2012, 1p. (Résumé) V.MORTET, A.BELTRAN, E.BEDEL-Mobility degradation in 4H-SiC MOSFETs: ECS Meeting and Electrochemical Energy Summit, PEREIRA, F.CRISTIANO, comparison of electrical and structural Boston (USA), 9-14 Octobre 2011, 1p. S.SCHAMM-CHARDON, investigations C.STRENGER, V.HAUBLEIN, A.JBAUER A.BELTRAN, S.SCHAMMNano-analytical and electrical characterization of HeteroSiC-WASMPE 2011, Tours (France), 27-30 CHARDON, V.MORTET, 4H-SiC MOSFETs Juin 2011, 5p. M.LEFEBVRE, E.BEDELPEREIRA, F.CRISTIANO, C.STRENGER, V.HAUBLEIN, A.JBAUER C.CHRISTOPHE, J.LAUNAY, Electrochemical microdevices as a promising ISE 2011 Spring Meeting, Turku (Finlande), 8-11 Mai P.GROS, E.QUESTEL, P.TEMPLE analytical tool for in vivo exploration of oxidative 2011, 1p. BOYER stress B.M.SERRANO MESA, D.RABELY, Integration of electrochemical microsensors on ISE 2011 Spring Meeting, Turku (Finlande), 5-8 Mai L.PONT, C.CHRISTOPHE, flexible film 2011, 1p. J.LAUNAY, P.TEMPLE BOYER, F.SEKLI, P.GROS L.LVOVA, P.MIELLE, C.SALLES, An application of specific sensors for the S.DENIS, C.VERGOIGNAN, monitoring of NaCl in soft cheeses A.BARRA, C.DI NATALE, R.PAOLESSE, P.TEMPLE BOYER, G.FERON Scientific Production — 172 International Symposium on Olfaction and Electronic Nose (ISOEN 2011), New York (USA), 2-5 Mai 2011, 2p. MAI11752 MAI11792 MAI11866 MAI12003 MAI12004 MAI12005 MAI12009 MAI12085 MAI12140 MAI12147 MAI12148 MAI12180 MAI12272 MAI12274 MAI12299 MAI12322 MAI12366 L.DJEGHLAF, P.MIELLE, J.MARATRAY, J.LAUNAY, P.TEMPLE BOYER, C.SALLES P.PONS, H.AUBERT, P.MENINI, E.TENTZERIS Novel sensors for the artificial mouth International Symposium on Olfaction and Electronic Nose (ISOEN 2011), New York (USA), 2-5 Mai 2011, 2p. Wireless Passive Autonomous Sensors with International Conference on Microwave and High Electromagnetic Transduction Frequency Heating (AMPERE 2011), Toulouse (France), 5-8 Septembre 2011, 6p. Deep level transient spectroscopy study of ion International Conference on Defects in implantation-induced extended defects in silicon Semiconductors ( ICDS ) 2011 du 17 juin au 22 juin 2011, Nelson (Nouvelle Zélande), 2011, 1p. J.BOUCHER, F.OLIVIE, K.KOUKOS, E.BEDEL-PEREIRA, D.BOLZE, Y.YAMAMOTO, F.CRISTIANO L.DJEGHLAF, A.DIALLO, Development of pH-based enzymatic field effect BIOSENSORS 2012, Cancun (Mexique), 15-18 Mai L.MAZENQ, C.SALLES, P.MIELLE, transistors for the glutamate ion detection 2012, 1p. J.LAUNAY, P.TEMPLE BOYER A.DIALLO, L.DJEGHLAF, L.MAZENQ, T.T.NGUYEN BOISSE, F.LAGARDE, N.JAFFREZIC-RENAULT, J.LAUNAY, P.TEMPLE BOYER E.VANHOVE, L.BOUSCAYROL, R.NAVAL, A.GERARD, M.A.ZOUARI, V.CONEDERA, J.LAUNAY, P.TEMPLE BOYER C.CHRISTOPHE, V.CONEDERA, J.LAUNAY, P.GROS, E.QUESTEL, P.TEMPLE BOYER S.GUILLON, S.SALOMON, F.SEICHEPINE, D.DEZEST, F.MATHIEU, A.BOUCHIER, L.MAZENQ, C.THIBAULT, C.VIEU, T.LEICHLE, L.NICU T.CHEN, D.DUBUC, K.GRENIER F.ARTIS, D.DUBUC, MC.BLATCHE, K.GRENIER B.REIG, V.BARDINAL, T.CAMPS, Y.BOUCHER, C.LEVALLOIS, J.B.DOUCET, D.BOURRIER, E.DARAN, J.LAUNAY G.LARRIEU, X.L.HAN, G.PATRIARCHE, F.CRISTIANO, Y.GUERFI, M.COLLET D.DUBUC, K.GRENIER, M.POUPOT, J.J.FOURNIE Development of pH-chemFET-based biosensors BIOSENSORS 2012, Cancun (Mexique), 15-18 Mai for the lactic acid detection 2012, 1p. Long-life insulating layers for integrated microelectrodes Microtechnologies in favour of the analytical electrochemistry and vice-versa Spring Meeting of the International Society of Electrochemistry, Perth (Australie), 15-18 Avril 2012, 1p. Single-step, high-throughput biofunctionalization International Conference on Micro Electro of nanoelectromechanical systems by means of Mechanical Systems (IEEE MEMS 2012), Paris nanocontact printing method (France), 29 Janvier - 2 Février 2012, pp.1348-1351 Accurate nanoliter liquid complex admittance characterization up to 40GHz for biomedical applications Biological cells proliferation in microwave microsystems Polymer tunable microlens arrays suitable for VCSEL beam control International Microwave Symposium (IMS 2012), Montréal (Canada), 17-22 Juin 2012, 3p. Investigation of silicide/silicon interfaces in nanowire based-nanocontacts MRS Spring Meeting 2012, San Francisco (USA), 913 Avril 2012, 1p. (Résumé) Broadband microwave biosensing based on interdigitated capacitor for lab-on-chip applications F.LARRAMENDY, A.BENDALI, Design and fabrication method of su8-based MC.BLATCHE, S.PICAUD, closed microchannels using single step uvL.MAZENQ, P.TEMPLE BOYER, photolithography for highly oriented axonal L.NICU growth H.TAP, B.CAUSSAT, H.VERGNES, Graphene in silicon photovoltaic cells P.TRINSOUTROT, V.CONEDERA, F.GUERIN, F.GESSINN, J.GRISOLIA, J.LAUNAY, P.ARGUEL O.THOMAS, S.NEZAMABADI, Finite-elements reduced order models of F.MATHIEU, L.NICU, J.F.DEÜ piezoelectric micro-resonators: electric charge computation and nonlinear parametric amplification M.SCHUSSLER, M.PUENTES, Simultaneous dielectric monitoring of D.DUBUC, K.GRENIER, microfluidic channels at microwaves utilizing a R.JAKOBY metamaterial transmission line structure MAI12399 F.MATHIEU, F.LARRAMENDY, D.DEZEST, C.HUANG, C.M.EICHFELD, G.LAVALLEE, S.MILLER, W.MANSFIELD, S.TROLIER-MCKINSTRY, L.NICU MAI12415 V.MORTET, E.BEDEL-PEREIRA, J.F.BOBO, F.CRISTIANO, C.STRENGER, V.UHNEVIONAK, A.BURENKOV, A.JBAUER Spring Meeting of the International Society of Electrochemistry, Perth (Australie), 15-18 Avril 2012, 1p. International Microwave Symposium (IMS 2012), Montréal (Canada), 17-22 Juin 2012, 3p. Photonics Europe 2012, Bruxelles (Belgique), 16-19 Avril 2012, 11p. IEEE International NEWCAS Conference (NEWCAS 2012), Montréal (Canada), 17-20 Juin 2012, pp.529532 BIOSENSORS 2012, Cancun (Mexique), 15-18 Mai 2012, 1p. International Conference on Micro and Nano Engineering (MNE 2012), Toulouse (France), 16-20 Septembre 2012, 3p. VISHNO Symposium, Paris (France), 3-5 Juillet 2012, 7p. Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC 2012), San Diego (USA), 28 Août - 1 Septembre 2012, 4p. Piezoelectric microelectromechanical International Conference on Micro and Nano resonators: holistic electrical modelling and Engineering (MNE 2012), Toulouse (France), 16-20 experimental validation of geometry-dependent Septembre 2012, 1p. actuation/sensing circuitry Hall effect characterization of 4H-SiC MOSFETs: European Conference on Silicon Carbide & Related influence of nitrogen gate implantation Materials (ECSCRM 2012), Saint Petersbourg (Russie), 2-6 Septembre 2012, 2p. Scientific Production — 173 MAI12443 MAI12448 MAI12449 MAI12450 MAI12457 MAI12466 MAI12472 MAI12478 MAI12479 MAI12491 MAI12533 MAI12540 A.BELTRAN, A.M.SANCHEZ, F.DE LA PEÑA, T.BEN, D.SALES, A.G.TABOADA, J.M.RIPALDA, M.VARELA, S.J.PENNYCOOK, S.MOLINA C.NYAMHERE, F.CRISTIANO, F.OLIVIE, E.BEDEL-PEREIRA, J.BOUCHER, Z.ESSA, D.BOLZE, Y.YAMAMOTO Z.ESSA, F.CRISTIANO, Y.SPIEGEL, P.BOULENC, Y.QIU, M.QUILLEC, N.TALEB, A.BURENKOV, M.HACKENBERG, E.BEDEL-PEREIRA, V.MORTET, F.TORREGROSA, C.TAVERNIER Z.ESSA, C.GAUMER, A.PAKFAR, M.GROS-JEAN, M.JUHEL, F.PANCIERA, P.BOULENC, C.TAVERNIER, F.CRISTIANO C.CASTRO, A.ANDREOZZI, G.BENASSAYAG, A.BELTRAN, G.SEGUINI, M.PEREGO, S.SCHAMM-CHARDON G.MOLNAR, I.A.GURAL'SKIY, L.SALMON, W.NICOLAZZI, C.M.QUINTERO PINZON, A.AKOU, K.ABDUL-KADER, G.FELIX, T.MAHFOUD, C.BERGAUD, C.BARTUAL, C.THIBAULT, C.VIEU, A.BOUSSEKSOU A.BELTRAN, S.SCHAMMCHARDON, V.MORTET, E.BEDELPEREIRA, F.CRISTIANO, C.STRENGER, A.JBAUER D.BARAT, V.BARDINAL, I.DIKA, O.SOPPERA, A.RUMYANTSEVA, B.REIG, M.RENAULT, A.BRUYANT, T.CAMPS, J.B.DOUCET, J-P.MALVAL, E.DARAN B.REIG, V.BARDINAL, T.CAMPS, J.B.DOUCET, E.DARAN N.DUFOUR, Y.VEYRAC, P.MENINI, F.BLANC, C.TALHI, B.FRANC, C.GANIBAL, C.WARTELLE, K.AGUIR E.DESCAMPS, V.CASTAGNOLA, C.BAYON, MC.BLATCHE, S.CHARLOT, C.BERGAUD F.ARTIS, D.DUBUC, K.GRENIER, M.POUPOT, J.J.FOURNIE MAI12557 A.DESMAISON, B.DUCOMMUN, K.GRENIER, V.LOBJOIS MAI12563 P.MEINERI, D.DUBUC, K.GRENIER MAI12564 T.CHEN, D.DUBUC, K.GRENIER MAI12569 Y.GUERFI, F.CARCENAC, G.LARRIEU MAI12602 N.DUFOUR, C.WARTELLE, P.MENINI MAI12624 A.EGEA, J.FONCY, J.C.CAU, V.PAVEAU, J.M.FRANCOIS, C.VIEU EELS analysis of InxGa1-xAsySb1-y nanostructures European Microscopy Conference (EMC 2012), Manchester (UK), 16-21 Septembre 2012, pp.987988 Electrical characterization of {311} defects and related junction leakage currents in n-type Si after ion implantation International Conference on Ion Implantation Technology (IIT'2012), Valladolid (Espagne), 25-29 Juin 2012, 4p. BF3 PIII modeling: implantation, amorphisation and diffusion International Conference on Ion Implantation Technology (IIT'2012), Valladolid (Espagne), 25-29 Juin 2012, 4p. Evaluation and modelling of lanthanum diffusion E-MRS Spring Meeting 2012, Strasbourg (France), in TiN/La2O3/HfSiON/SiO2/Si high-k stacks 14-18 Mai 2012, 1p. EFTEM studies on the localization of silicon nanocrystals embedded in SiO2 for nanodevices European Microscopy Conference (EMC 2012), Manchester (UK), 16-21 Septembre 2012, 2p. Bistable photonic nanostructures based on molecular spin crossover complexes SPIE Photonics Europe. Photonic Crystal Materials and Devices, Bruxelles (Belgique), 16-19 Avril 2012, 8p. Compositional characterization of SiC-SiO2 interfaces in MOSFETs European Microscopy Conference (EMC 2012), Manchester (UK), 16-21 Septembre 2012, pp.367368 Microlens self-writing on vertical laser diodes by International Conference on Micro and Nano near Infra-red photo-polymerization Engineering (MNE 2012), Toulouse (France), 16-20 Septembre 2012, 1p. A miniaturized VCSEL-based system for optical sensing in a microfluidic channel Increasing the sensitivity and selectivity of metal oxide gas sensors by controlling the sensitive layer polarization IEEE SENSORS 2012 du 28 octobre au 31 octobre 2012, Taipei (Taiwan), Octobre 2012, 4p. IEEE SENSORS 2012 du 28 octobre au 31 octobre 2012, Taipei (Taiwan), Octobre 2012, 4p. Nanostructured flexible implantable microelectrodes for stimulation and recording neural activity Specific high frequency dielectric signature of biomolecules and living cells in their microenvironment Tensional force and cell cycle control in multicellular tumor spheroid Congrès de Médecine Physique et de Réadaptation (SOFTMER 2012), Toulouse (France), 18-20 Octobre 2012, 1p. (Résumé) International Symposium New Frontiers in Our Understanding and Treatment of Cancer, Toulouse (France), 1-2 Octobre 2012, 1p. (Résumé) International Symposium New Frontiers in Our Understanding and Treatment of Cancer, Toulouse (France), 1-2 Octobre 2012, 1p. Liquid-based tunable loaded-line phase shifter European Radar Conference ( EuRAD ) 2012 du 28 octobre au 02 novembre 2012, Amsterdam (Pays Bas), 2012, pp.393-396 Resonant-based microwave biosensor for European Microwave Conference ( EuMC ) 2012 du physiological liquid identification 28 octobre au 02 novembre 2012, Amsterdam (Pays Bas), 2012, pp.448-450 High resolution HSQ nanopillar arrays with low International Conference on Micro and Nano energy electron beam lithography Engineering ( MNE ) 2012 du 16 septembre au 20 septembre 2012, Toulouse (France), Toulouse (France), 1p. 3D stationary and temporal electro-thermal COMSOL Conference 2012 du 10 octobre au 12 simulations of metal oxide gas sensor based on octobre 2012, Milan (Italie), 2012, 2p. a high temperature and low power consumption micro-heater structure using COMSOL Optical label-free biodetection based on the Biophysical Society Annual Meeting 2012 du 25 diffraction of DNA molecular gratings for in vitro février au 29 février 2012, San Diego (USA), diagnostic (Résumé), Novembre 2012, 1p. Scientific Production — 174 MAI12625 J.CHALMEAU, C.VIEU, V.NOIREAUX MAI12630 F.DUBOSC, D.BOURRIER, T.LEICHLE MAI12646 M.PALOSSE, I.SEGUY, C.VILLENEUVE-FAURE, C.MALLET, P.FRERE, C.GATEL, J.F.BOBO T.CHEN, D.DUBUC, M.POUPOT, J.J.FOURNIE, K.GRENIER MAI12684 MAI12788 C.FORMOSA, M.GRARE, R.DUVAL, E.DAGUE MAI12800 C.STRENGER, V.UHNEVIONAK, A.BURENKOV, A.JBAUER, V.MORTET, E.BEDEL-PEREIRA, F.CRISTIANO, M.KRIEGER, H.RYSSEL V.UHNEVIONAK, C.STRENGER, A.BURENKOV, V.MORTET, E.BEDEL-PEREIRA, F.CRISTIANO, A.JBAUER, P.PICHLER H.AUBERT, F.CHEBILA, M. M.JATLAOUI, T.T.THAI, H.HALLIL, A.TRAILLE, S.BOUAZIZ, A.RIFAI, P.PONS, P.MENINI, E.TENTZERIS MAI12801 MAI12810 In vitro expression of E.Coli flagellar nanomotor using cell free expression: a path through a controlled re-assembly of the flagellum basal bogy Fabrication of lateral porous silicon membranes for planar microfluidic devices Spin transport in thiophene derivatives based organic spin valves Verification of near-interface traps models by electrical measurements on 4H-SiC n-channel MOSFETs European Conference on Silicon Carbide & Related Materials ( ECSCRM ) 2012 du 02 septembre au 06 septembre 2012, St Petersbourg (Russie), Septembre 2012, 4p. Wireless sensing and identification of passive electromagnetic sensors based on millimetrewave FMCW RADAR IEEE RFID Technology & Applications ( IEEE RFIDTA ) 2012 du 05 novembre au 07 novembre 2012, Nice (France), Novembre 2012, 5p. Electromagnetic transduction for wireless passive sensors Eurosensors 2012 du 09 septembre au 12 septembre 2012, Krakow (Pologne), Septembre 2012, 10p. IEEE International New Circuits and Systems Conference ( NEWCAS ) 2012 du 17 juin au 20 juin 2012, Montreal (Canada), Juin 2012, pp.445-448 P.PONS, H.AUBERT, P.MENINI, E.TENTZERIS MAI12827 T.TARIS, V.VIGNERAS, L.FADEL- A 900 MHz RF energy harvesting module TARIS MAI12848 M.SCHIAVONE, H.M. YKEN, J.M.FRANCOIS A simple method to determine saccharomyces cervisiae cell wall composition by combined chemical and enzymatic hydrolysis MAI13017 F.PILLET, A.SANCHEZ, C.FORMOSA, M.SEVERAC, E.TREVISIOL, J.Y.BOUET, V.LEBERRE S.HOUMADI, N. S.HABTOUN, D.DEDOVET, S.SI, R.TAMOTO, R.ODA, M.H.DELVILLE, C.BERGAUD G.ORTIZ-HERNANDEZ, V.MORTET, C.STRENGER, V.UHNEVIONAK, J.F.BOBO, A.BURENKOV, A.JBAUER, F.CRISTIANO, E.BEDELPEREIRA H.KALLEL, A.ARBOUET, P.PERIWAL, T.BARON, N.KLEIN, G.LARRIEU, A.CHEHAIDAR, V.PAILLARD M.A.ZOUARI, I.BOUASSIDA Dendrimer functionalization of gold surface improves the measurement of protein–DNA interactions by surface plasmon resonance imaging Synthesis and elastic properties of SiO2 nanotubes and helical nanosprings templated from organic amphiphilic self-assemblies through inorganic transcription Impact of fabrication process on electrical properties and on interfacial density of states in n-MOSFETs studied by Hall effect MAI13127 MAI13240 MAI13254 MAI13302 Eurosensors 2012 du 09 septembre au 12 septembre 2012, Krakow (Pologne), Seul le résumé est disponible, 2012, 2p. International Symposium on Functional π Electron Systems ( FPI ) 2013 du 02 juin au 07 juin 2013, Arcachon (France), Juin 2013, 1p. Broadband discrimination of living and dead IEEE Topical Conference on Biomedical Wireless lymphoma cells with a microwave interdigitated Technologies, Networks, and Sensing Systems ( capacitor IEEE BioWireleSS ) 2013 du 20 janvier au 23 janvier 2013, Austin (USA), Janvier 2013, pp.64-66 Nanoscale analysis of the effects of Interscience Conference on Antimicrobial Agents newantibacterial, CX1, on a Pseudomonas and Chemotherapy ( ICAAC ) 2012 du 09 aeruginosa multidrug-resistant strain septembre au 12 septembre 2012, San Francisco (USA), Septembre 2012, 2p. Correlation of interface characteristics to European Conference on Silicon Carbide & Related electron mobility in channel-implanted 4H-SiC Materials ( ECSCRM ) 2012 du 02 septembre au 06 MOSFETs septembre 2012, St Petersbourg (Russie), Septembre 2012, 4p. MAI12811 MAI13065 Biophysical Society Annual Meeting 2012 du 25 février au 29 février 2012, San Diego (USA), 2012, 1p. International Conference on Molecular Mechanisms of Fungal Cell Wall Biogenesis ( FCWB ) 2012 du 06 juin au 09 juin 2012, Primosten (Croatie), Juin 2012, 1p. Advances in Biodetection & Biosensors 2013 du 05 mars au 06 mars 2013, Barcelone (Espagne), Mars 2012, 2p. International Conference on Solid-State Sensors, Actuators and Microsystems ( TRANSDUCERS ) 2013 du 16 juin au 20 juin 2013, Barcelone (Espagne), Juin 2013, 2p. International Workshop on Silicon Carbide HeteroEpitaxy - Workshop on Advanced Semiconductor Materials and devices for Power Electronics applications ( HeteroSiC - WASMPE ) 2013 du 17 juin au 19 juin 2013, Nice (France), Juin 2013, 1p. Photoluminescence enhancement of a silicon nanocrystal plane placed in the near-field of a silicon nanowire E-MRS Spring Meeting 2013 du 27 mai au 31 mai 2013, Strasbourg (France), Mai 2013, 1p. Towards Automated Deployment of Distributed Adaptation Systems European Conference on Software Architecture ( ECSA ) 2013 du 01 juillet au 05 juillet 2013, Montpellier (France), Lecture Notes in Computer Science 7957, Springer, Juillet 2013, 4p. International Symposium on Functional π Electron Systems ( FPI ) 2013 du 02 juin au 07 juin 2013, Arcachon (France), Juin 2013, 1p. D.HERNANDEZ MALDONADO, Morphological and optical studies of P3HT: NiK.MOINEAU CHANE CHING, bdt thin films for organic bulk heterojunction C.VILLENEUVE-FAURE, E.BEDEL- solar cells. PEREIRA, I.SEGUY, F.ALARY, J.L.HEULLY Scientific Production — 175 MAI13309 MAI13310 MAI13357 MAI13448 MAI13523 V.UHNEVIONAK, A.BURENKOV, C.STRENGER, V.MORTET, E.BEDEL-PEREIRA, F.CRISTIANO, A.JBAUER, P.PICHLER V.UHNEVIONAK, C.STRENGER, A.BURENKOV, V.MORTET, E.BEDEL-PEREIRA, J.LORENZ, P.PICHLER N.DUFOUR, A.CHAPELLE, C.TALHI, F.BLANC, B.FRANC, P.MENINI, K.AGUIR G.ORTIZ-HERNANDEZ, V.MORTET, C.STRENGER, V.UHNEVIONAK, A.BURENKOV, A.JBAUER, P.PICHLER, F.CRISTIANO, E.BEDELPEREIRA L.CHOPINET-MAYEUX, M.P.ROLS, E.DAGUE Hall factor calculation for the characterization of International Conference on Silicon Carbide and transport properties in n-channel 4H-SiC Related Materials ( ICSCRM ) 2013 du 29 MOSFETs septembre au 04 octobre 2013, Miyazaki (Japon), Octobre 2013, 2p. Characterization of n-channel 4H-SiC MOSFETs: electrical measurements and simulation analysis European Solid-State Device Research Conference ( ESSDERC ) 2013 du 16 septembre au 20 septembre 2013, Bucarest (Roumanie), Septembre 2013, ID 1348p. Tuning the Bias Sensing Layer: A New Way to International Conference on Sensing Technology ( Greatly Improve Metal-Oxide Gas Sensors ICST ) 2013 du 03 décembre au 05 décembre Selectivity 2013, Wellington (Nouvelle-Zélande), Décembre 2013, 5p. Impact of fabrication process on electrical International Workshop on Silicon Carbide Heteroproperties and on interfacial density of states in Epitaxy - Workshop on Advanced Semiconductor 4H-SiC n-MOSFETs studied by Hall effect Materials and devices for Power Electronics applications ( HeteroSiC - WASMPE ) 2013 du 17 juin au 19 juin 2013, Nice (France), Juin 2013, 5p. Measuring and imaging electropermeabilization effects on cell membrane elasticity using atomic force microscopy AFM for microbes investigation and antimicrobial agent evaluation MAI13524 E.DAGUE, C.FORMOSA, M.GRARE, R.DUVAL MAI13527 C.FORMOSA, M.SCHIAVONE, C.ELSZTEIN, H.MARTIN-YKEN, M.A.DE MORAIS, R.DUVAL, J.M.FRANCOIS, E.DAGUE C.STRENGER, V.UHNEVIONAK, V.MORTET, G.ORTIZHERNANDEZ, T.ERLBACHER, A.BURENKOV, A.JBAUER, F.CRISTIANO, E.BEDELPEREIRA, P.PICHLER, H.RYSSEL, L.FREY H.Y.LEE, Y.T.SU, Y.C.LIN, C.H.CHAO, C.T.LEE, V.BARDINAL The yeast response to external stress investigated by atomic force microscopy C.NYAMHERE, F.CRISTIANO, F.OLIVIE, E.BEDEL-PEREIRA, Z.ESSA Electrical and optical characterization of extended defects induced in p-type Si after Si ion implantation MAI13529 MAI13574 MAI13652 MAI13754 MAI13755 MAI13756 MAI13757 MAI13758 MAI13768 MAI13770 MAI13774 Systematic analysis of the high-and low-field channel mobility in lateral 4H-SiC MOSFETs Annual Linz Winter Workshop 2013 du 15 février au 18 février 2013, Linz (Autriche), Février 2013, 1p. Interscience Conference on Antimicrobial Agents and Chemotherapy ( ICAAC ) 2013 du 10 septembre au 13 septembre 2013, Denver (USA), Septembre 2013, 2p. Conference on physiologie of Yeast and Filamentous Fungi ( PYFF ) 2013 du 04 juin au 07 juin 2013, Montpellier (France), Juin 2013, 2p. International Conference on Silicon Carbide and Related Materials ( ICSCRM ) 2013 du 29 septembre au 04 octobre 2013, Miyazaki (Japon), Septembre 2013, 4p. High phosphor conversion efficiency GaN-based flip-chip white light-emitting-diodes using omnidirectional diffused ZnO nanorod reflectors and remote coating technique M.SCHIAVONE, H.M. YKEN, A method to determine saccaromyces cerevisiae E.DAGUE, J.M.FRANCOIS cell wall composition by combined chemical and enzymatic hydrolysis T.CHEN, F.ARTIS, D.DUBUC, Microwave biosensor dedicated to the dielectric J.J.FOURNIE, M.POUPOT, spectroscopy of a single alive biological cell in K.GRENIER its culture medium K.GRENIER, D.DUBUC, T.CHEN, Non-invasive and broadband analysis of living F.ARTIS, M.POUPOT, cancer cells in their culture medium with J.J.FOURNIE microwave-based biosensors T.CHRETIENNOT, D.DUBUC, Optimized electromagnetic interaction K.GRENIER microwave resonator/microfluidic channel for enhanced liquid bio-sensor IEEE Nanotechnology Materials and Devices Conference ( IEEE NMDC ) 2013 du 06 octobre au 09 octobre 2013, Tainan (République de Chine), Octobre 2013, 2p. Conference on Physiology of Yeast and Filamentous Fungi ( PYFF ) 2013 du 04 juin au 07 juin 2013, Montpellier (France), Juin 2013, 2p. IEEE International Microwave Symposium ( IMS ) 2013 du 02 juin au 07 juin 2013, Seattle (USA), Juin 2013, 4p. IEEE International Microwave Symposium ( IMS ) 2013 du 02 juin au 07 juin 2013, Seattle (USA), Juin 2013, 15p. European Microwave Conference - European Microwave Week ( EuMC - EuMW ) 2013 du 06 octobre au 10 octobre 2013, Nuremberg (Allemagne), Octobre 2013, 4p. K.GRENIER, D.DUBUC Microwave dielectric spectroscopy: interest for European Microwave Conference - European non-invasive and label-free cellular and Microwave Week ( EuMC - EuMW ) 2013 du 06 molecular biosensing octobre au 10 octobre 2013, Nuremberg (Allemagne), Octobre 2013, 30p. F.ARTIS, D.DUBUC, J.J.FOURNIE, Microwave dielectric bio-sensing for precise and European Microwave Conference - European M.POUPOT, K.GRENIER repetitive living cells suspension analysis Microwave Week ( EuMC - EuMW ) 2013 du 06 octobre au 10 octobre 2013, Nuremberg (Allemagne), Octobre 2013, 3p. L.LAANAB, A.BELAFHAILI, The effects of the Ge content on the formation E-MRS Spring Meeting 2013 du 27 mai au 31 mai F.CRISTIANO, N.CHERKASHIN, and evolution of {113} defects in SiGe alloys 2013, Strasbourg (France), Mai 2013, pp.20-23 A.CLAVERIE E.DEMENEV, F.MEIRER, Z.ESSA, Observation of point defect injection from E-MRS Spring Meeting 2013 du 27 mai au 31 mai D.GIUBERTONI, F.CRISTIANO, electrical de-activation of arsenic ultra-shallow 2013, Strasbourg (France), Mai 2013, 4p. G.PEPPONI, S.GENNARO, distributions formed by ultra-low energy ion M.BERSANI, M.A.FOAD implantation and laser sub-melt annealing Scientific Production — 176 E-MRS Spring Meeting 2013 du 27 mai au 31 mai 2013, Strasbourg (France), Mai 2013, 4p. MAI13775 F.X.DARRAS, N.CHERKASHIN, F.CRISTIANO, E.SCHEID, O.KONONCHUK, L.CAPELLO, A.CLAVERIE G.FISICARO, L.PELAZ, M.ABOY, P.LOPEZ, M.ITALIA, K.HUET, F.CRISTIANO, Z.ESSA, Y.QIU, E.BEDEL-PEREIRA, M.HACKENBERG M.OTHMAN, D.LOLLMAN, K.AGUIR, P.MENINI, W.BELKACEM, N.MLIKI M.SCHIAVONE, C.FORMOSA, H.M. YKEN, J.M.FRANCOIS, E.DAGUE V.LACHAIZE, G.GENET, C.GUILBEAU-FRUGIER, J.M.SENARD, C.GALES, E.DAGUE C.FORMOSA, M.SCHIAVONE, R.DUVAL, E.DAGUE Quantification of the number of Si interstitials E-MRS Spring Meeting 2013 du 27 mai au 31 mai formed by hydrogen implantation in silicon using 2013, Strasbourg (France), Mai 2013, 10p. boron marker layers MAI14024 F.PILLET, C.FORMOSA, J.TEISSIE, M.P.ROLS, E.DAGUE Observation by AFM of cell-wall damages induced on bacteria by pulsed electric field MAI14051 V.CASTAGNOLA, E.DESCAMPS, MC.BLATCHE, L.G.NOWAK, C.BERGAUD A.SIMAITE, B.TONDU, R.CLERGEREAUX, E.DESCAMPS, P.SOUERES, C.BERGAUD S.CHARLOT, V.CASTAGNOLA, E.DESCAMPS Flexible technology for PEDOT-modified neural International Winterschool on Bioelectronics ( BioEl ) probes 2014 du 22 février au 01 mars 2014, Kirchberg (Autriche), Février 2014, 1p. Functionalization of PVDF membranes to control International Winterschool on Bioelectronics ( BioEl ) PVDF – PEDOT/PSS interface strength for 2014 du 22 février au 01 mars 2014, Kirchberg increased cycle life of artificial muscles (Autriche), Février 2014, 1p. Low stress polyimide multilayers integration for flexible substrate Smart Systems Integration ( ssi ) 2014 du 26 mars au 27 mars 2014, Vienne (Autriche), Mars 2014, 4p. B.DUGRENIL, I.SEGUY, H.Y.LEE, T.CAMPS, Y.C.LIN, J.B.DOUCET, Y.S.CHIU, L.SALVAGNAC, E.BEDEL-PEREIRA, M.TERNISIEN, C.T.LEE, V.BARDINAL S.ABADA, T.CAMPS, B.REIG, J.B.DOUCET, E.DARAN, V.BARDINAL S.ABADA, B.REIG, E.DARAN, J.B.DOUCET, T.CAMPS, S.CHARLOT, V.BARDINAL F.ARTIS, D.DUBUC, J.J.FOURNIE, M.POUPOT, K.GRENIER AZO electrodes deposited by atomic layer deposition for OLED fabrication SPIE Photonics Europe Conference 2014 du 14 avril au 17 avril 2014, Bruxelles (Belgique), Avril 2014, 6p. MAI13781 MAI13818 MAI14021 MAI14022 MAI14023 MAI14052 MAI14061 MAI14120 MAI14123 MAI14124 MAI14279 Dopant dynamics and defects evolution in implanted silicon under laser irradiations: a coupled continuum and kinetic Monte Carlo approach Response enhancement of WO3 gas sensors by IEEE SENSORS 2013 du 04 novembre au 06 matallic nanograins novembre 2013, Baltimore (USA), Novembre 2013, 4p. Impact of capsofungin and gene deletions on Annual Linz Winter Workshop 2014 du 31 janvier au yeast cell wall architecture 03 février 2014, Linz (Autriche), Janvier 2014, 1p. AFM-based sarcolemmal surface analysis of living cardiomyocytes unveils unexpected mitochondrial shift in heart failure Annual Linz Winter Workshop 2014 du 31 janvier au 03 février 2014, Linz (Autriche), Janvier 2014, 2p. 3D optimization of a polymer MOEMS for active SPIE Photonics Europe Conference 2014 du 14 focusing of VCSEL beam avril au 17 avril 2014, Bruxelles (Belgique), Avril 2014, 9p. Uniform fabrication of thick SU-8 patterns on SPIE Photonics Europe Conference 2014 du 14 small-sized wafers for micro-optics applications avril au 17 avril 2014, Bruxelles (Belgique), Avril 2014, 6p. Microwave dielectric spectroscopy of cell IEEE International Microwave Symposium ( IMS ) membrane permeabilization with saponin on 2014 du 01 juin au 06 juin 2014, Tampa Bay (USA), human Blymphoma cells Juin 2014, 4p. Double stub resonant biosensor for glucose IEEE International Microwave Symposium ( IMS ) concentrations quantification of multiple 2014 du 01 juin au 06 juin 2014, Tampa Bay (USA), aqueous solutions Juin 2014, 4p. Extended defects formation in nanosecond laser- International Conference on Ion Implantation annealed ion implanted silicon Technology ( IIT ) 2014 du 27 juin au 04 juillet 2014, Portland (USA), Juin 2014, 1p. T.CHRETIENNOT, D.DUBUC, K.GRENIER MAI14303 F.CRISTIANO, Y.QIU, K.HUET, F.MAZZAMUTO, G.FISICARO, A.LA MAGNA, M.QUILLEC, N.CHERKASHIN, H.WANG, S.DUGUAY, D.BLAVETTE M.SHAYESTEH, D.O'CONNELL, Laser thermal annealing of Ge, optimized for F.GITY, P.MURPHY, R.YU, highly activated dopant and diode Ion/Ioff ratios K.HUET, I.TOQUE-TRESSONNE, F.CRISTIANO, S.BONINELLI, H.H.HENRICHSEN, D.H.PETERSEN, P.F.NIELSEN, R.DUFFY G.FISICARO, L.PELAZ, M.ABOY, Boron activation and defects dynamics in Si P.LOPEZ, M.ITALIA, K.HUET, solid-phase during excimer laser annealing F.MAZZAMUTO, F.CRISTIANO, processes Z.ESSA, Y.QIU, E.BEDELPEREIRA, M.QUILLEC, A.LA MAGNA MAI14305 Annual Linz Winter Workshop 2014 du 31 janvier au 03 février 2014, Linz (Autriche), Janvier 2014, 2p. Imaging adhesive nanodomains at the surface Annual Linz Winter Workshop 2014 du 31 janvier au of candida albicans by atomic force microscopy 03 février 2014, Linz (Autriche), Janvier 2014, 2p. MAI14280 MAI14304 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) 2013 du 03 septembre au 05 septembre 2013, Glasgow (Ecosse), Septembre 2013, 4p. Scientific Production — 177 International Conference on Ion Implantation Technology ( IIT ) 2014 du 27 juin au 04 juillet 2014, Portland (USA), Juin 2014, pp.124-127 E-MRS Spring Meeting 2014 du 27 mai au 29 mai 2014, Lille (France), Mai 2014, 1p. [MAN] Ref Conferences with published proceedings -‐national-‐ Authors Title MAN09093 MAN09219 V.PUYAL, D.DRAGOMIRESCU, C.VILLENEUVE-FAURE, P.PONS, R.PLANA H.HALLIL, P.MENINI, H.AUBERT MAN09260 H.HALLIL, P.MENINI, H.AUBERT MAN09706 V.COLIN, A.CANALS, G.HANGARD, G.SARRABAYROUSE, M.GAVELLE, D.LAVIELLE, C.CHATRY, A.LAPRIE I.SEGUY, C.VILLENEUVE-FAURE, Propriétés morphologiques, magnétiques et E.BEDEL-PEREIRA, B.WAROTmagnétorésistives dans des vannes de spin FONROSE, J.F.BOBO K.GRENIER, D.DUBUC Apport des ondes hyperfréquences -HF- pour des analyses biologiques non invasives et sans contact D.BARAT, V.BARDINAL, T.CAMPS, Micro-optique auto-alignée sur VCSELs par B.REIG, J.B.DOUCET, E.DARAN, photopolymérisation infrarouge I.DIKA, C.TURCK, J-P.MALVAL, O.SOPPERA P.ARGUEL, J.GRISOLIA, LUMELEC: de la photodétection au F.GESSINN, J.LAUNAY, H.TAP photovoltaïc, ou comment marier l'optique et l'électronique C.VILLENEUVE-FAURE, Dispersion et fiabilité technologique des microV.PUYAL, P.PONS, commutateurs capacitifs RF D.DRAGOMIRESCU, R.PLANA A.ADANE, F.GALLEE, C.PERSON, Réseau d'antennes patch à 60 GHz excitées par V.PUYAL, C.VILLENEUVEmicrorubans en U sur membranes Si/BCB et FAURE, D.DRAGOMIRESCU contrôlées par des déphaseurs à MEMS RF MAN09951 MAN10859 MAN10924 MAN10976 MAN11182 MAN11183 MAN11249 MAN12776 MAN12789 MAN12818 MAN13091 MAN13344 Déphaseurs à base de MEMS RF à 60 GHz pour systèmes à réseaux d'antennes reconfigurables Nouveau capteur de gaz hyperfréquence à base d'un résonateur diélectrique en SnO2 Journées Nationales du Réseau Doctoral de Microélectronique (JNRDM 2009), Lyon (France), 1820 Mai 2009, 2p. Nouveau détecteur de gaz hyperfréquence à 16èmes Journées Nationales Microondes (JNM modes de galerie 2009), Grenoble (France), 27-29 Mai 2009, 4p. DOSI-SECURE : Un nouveau dosimère in vivo Journées Scientifiques de la Société Française de sans fil pour la radiothérapie Physique Médicale, Montauban (France), 3-5 Juin 2009, 5p. S.KARBOYAN, J.G.TARTARIN, Identification des pièges dans les dispositifs G.ASTRE, F.OLIVIE, B.LAMBERT HEMT AlGaN/GaN par mesures en I-DLTS, en bruit BF et électriques pulsées I.LOUBINOUX, L.VAYSSE, Cellules souches et récupération motrice postA.BEDUER, F.SEICHEPINE, AVC E.FLAHAUT, C.VIEU C.FORMOSA, C.ELSZTEIN, M.A.DE MORAIS, J.M.FRANCOIS, E.DAGUE P.ARGUEL, J.GRISOLIA, J.LAUNAY, H.TAP, C.CAPELLO, C.DUPRAT, F.GESSINN, F.GUERIN, C.ROUABHI M.PALOSSE, E.BEDEL-PEREIRA, C.VILLENEUVE-FAURE, C.MALLET, P.FRERE, T.BLON, B.WAROT-FONROSE, J.F.BOBO, I.SEGUY S.ABADA, B.REIG, T.CAMPS, J.B.DOUCET, E.DARAN, V.BARDINAL 6èmes Journées Cancéropôle Grand Sud Ouest et Réunions Satellites, Toulouse (France), 19-21 Octobre 2010, 1p. (Résumé) Journées de la Matière Condensée (JMC12), Troyes (France), Avril 2011, 1p. (Résumé) Journées Pédagogiques du CNFM ( JPCNFM ) 2010 du 22 novembre au 24 novembre 2010, Saint Malo (France), Novembre 2010, 2p. Journées Nationales Microondes (JNM 2011), Brest (France), 18-20 Mai 2011, 4p. Journées Nationales Microondes (JNM 2011), Brest (France), 18-20 Mai 2011, 4p. Journées Nationales Microondes (JNM 2011), Brest (France), 18-20 Mai 2011, 4p. Congrès de Médecine Physisque et de Réadaptation ( MPR ) 2012 du 18 octobre au 20 octobre 2012, Toulouse (France), Octobre 2012, 1p. De la microfabrication à la caractérisation opto- Journées Pédagogiques du CNFM ( JPCNFM ) 2012 électrique de détecteurs optiques LUMELEC du 28 novembre au 30 novembre 2012, Saint Malo (France), Novembre 2012, 3p. Magnétorésistance dans des vannes de spin Colloque Louis Néel ( CLN ) 2013 du 19 mars au 22 organiques à base d'un dérivé de thiophène mars 2013, Tours (France), Mars 2013, 2p. donneur d'électrons Modélisation et caractérisation de MOEMS Journées Nano, Micro et Optoélectronique ( JNMO ) polymères électrothermiques pour la focalisation 2013 du 21 mai au 23 mai 2013, Evian (France), dynamique de VCSELs Mai 2013, 1p. Conferences without proceedings -‐international-‐ Authors Title MSI09527 A.HEMERYCK, J.M.DUCERE, A.ESTEVE, M.DJAFARI ROUHANI, G.LANDA, C.TROPIS, P.MENINI, A.MAISONNAT, P.FAU, B.CHAUDRET S.SISKOS, T.LAOPOULOS, M.FRAGOPOULOU, BKONSTANTAKOS, M.ZAMANI, G.SARRABAYROUSE K.KOUKOS, E.BEDEL-PEREIRA, G.SARRABAYROUSE, T.C.TSAI, C.T.LEE MSI09709 Materiaux et Nanostructures Π-Conjugués (MNPC 2009), Arcachon (France), Octobre 2009, 2p. Nanoscale effects of ethanol on wild type and Levures, Modèles et Outils ( LMO ) 2012 du 02 avril yap1 mutant of saccharomyces cerevisiae au 04 avril 2012, Toulouse (France), Avril 2012, 2p. [MSI] Ref MSI09708 Published in: 16èmes Journées Nationales Microondes (JNM 2009), Grenoble (France), 27-29 Mai 2009, 2p. Published in: Multiscale modelling of gas sensors response to E-MRS Spring Meeting 2009, Strasbourg (France), 8mixture of CO, CO2 and H2O exposure 12 Juin 2009, 1p. (Résumé) A neutron dosemeter of high sensitivity based EURISOL Project Meeting, Pise (Italie), 30 Mars - 1 on p-MOSFETs Avril 2009, 1p. (Résumé) Study of 12-300K photoluminescence of LPCVD Symposium commemorating the 10th anniversary of grown silicon nanocrystals : effect of excitation the Taiwan-France Scientific Prize, Taipei (Taiwan), 2energy 3 Septembre 2009, 1p. (Résumé) Scientific Production — 178 MSI09791 MSI09928 MSI101011 MSI10288 MSI10380 MSI10383 MSI10564 MSI10959 MSI11561 G.ALMUNEAU, M.CONDE, O.GAUTHIER-LAFAYE, V.BARDINAL, C.FONTAINE M.ZAMANI, S.STOULOS, M.FRAGOPOULOU, S.SISKOS, T.LAOPOULOS, V.KONSTANTAKOS, G.SARRABAYROUSE P.J.DEBOUTTIERE, P.FAU, K.FAJERWERG, M.L.KAHN, B.CHAUDRET, N.PINNA, P.MENINI, N.DUFOUR, L.DEL FABBRO, M.TOUATY M.PETRANTONI, A.ESTEVE, J.M.DUCERE, F.SEVERAC, A.HEMERYCK, M.DJAFARI ROUHANI, A.BANCAUD, G.LANDA D.T.L.LE, M.P.DUVIAU, P.LOUBIERE, M.MERCIERBONIN, E.DAGUE F.SEVERAC, C.ROSSI, A.BANCAUD, A.ESTEVE MSI11829 MSI11830 A.BEDUER, C.VIEU MSI11867 J.BOUCHER, K.KOUKOS, E.BEDEL-PEREIRA, F.OLIVIE, D.BOLZE, Y.YAMAMOTO, F.CRISTIANO V.MORTET, A.SOLTANI, N.NOLHIER MSI12306 MSI12307 MSI12646 MSI13019 MSI13771 Tin dioxide and noble metal nanostructures for Franco Iberian NanoSensor Workshop ( FINW ) olfactory gas detection 2010 du 19 octobre au 22 octobre 2010, Biarritz (France), Octobre 2010, 1p. Nanoenergetical material on a chip: technology International Workshop on Pyrotechnic Combustion and modeling mechanisms (WPC 2011), Reims (France), 16-19 Mai 2011, 2p. Mucosal adhesion of lactic acid bacteria: firts investigation of mucins/Lactococcus lactis interactions at monoscale Toward energetic materials nanostructuration through DNA directed nanoparticles self assembly S.STOULOS, M.FRAGOPOULOU, The sensitivity of a new type p-MOSFET M.ZAMANI, E.BENTON, dosemeter to high energy protons Y.UCHIHORI, S.SISKOS, T.LAOPOULOS, V.KONSTANTAKOS, G.SARRABAYROUSE A.BEDUER, C.VIEU Multi-scale engineering for neuronal cell growth and differentiation C.STRENGER, V.HAUBLEIN, T.ERLBACHER, A.JBAUER, H.RYSSEL, A.BELTRAN, S.SCHAMM-CHARDON, V.MORTET, E.BEDEL-PEREIRA, M.LEFEBVRE, F.CRISTIANO M.COLLET, S.SALOMON, F.SEICHEPINE, Y.COFFINIER, P.CAROFF, C.VIEU, L.NICU, F.CRISTIANO, G.LARRIEU A.BEDUER, C.VIEU MSI11720 High reflectivity monolithic sub-wavelength European Semiconductor Laser Workshop (ESLW diffraction grating with GaAs/AlOx stack 2009), Vienne (Autriche), 25-26 Septembre 2009, pp.27-27 High sensitivity MOSFET-based neutron Workshop on Radiation Monitoring for the dosimeter for space applications International Space Station (WRMISS 2009), Dublin (Irelande), 8-10 Septembre 2009, 1p. (Résumé) Journées Jeunes Chercheurs. Interface ChimieBiologie-Physique , Bordeaux (France), 27-28 Mai 2010, 1p. (Résumé) NanoSEA 2010, Cassis (France), 28 Juin - 2 Juillet 2010, 1p. (Résumé) Workshop on Radiation Monitoring for the International Space Station (WRMISS 2010), Rome (Italie), Septembre 2010, 1p. (Résumé) International Conference on Micro and Nano Engineering (MNE 2010), Gènes (Italie), 19-22 Septembre 2010, 20p. Comparative study of electrical and International Conference on Silicon Carbide and microstructural investigations of 4H-SiC Related Materials (ICSCRM 2011), Cleveland (USA), MOSFETs 11-16 Septembre 2011, 4p. Capillary-assisted dielectrophoresis as an MRS Fall Meeting, Boston (USA), 27 Novembre - 02 innovative protocol to align nanowires at single- Décembre 2011, 1p. level Elucidation of the role of carbon nanotube pattern on the development of cultured neural cells Multiscaleengineering of adult human neural stem cells through surface patterning Electrical characterization of extended defects in ion implanted ultra-shallow junctions: impact on induced leakage current International Conference on Micro and Nano Engineering (MNE 2011), Berlin (Allemagne), 19-23 Septembre 2011, 9p. MRS Fall Meeting, Boston (USA), 28 Novembre - 2 Décembre 2011, 5p. E-MRS Spring Meeting 2011 du 09 mai au 13 mai 2011, Nice (France), Mai 2011, 1p. ionization Workshop on Compound Semiconductor Devices and Integrated Circuits and Expert Evaluation & Control of Compound Semiconductor Materials and Technologies (WOCSDICE-EXMATEC 2012), Porquerolles (France), 30 Mai - 1 Juin 2012, 2p. V.MORTET, P.BRETON, E.BEDEL- Hall effect measurements of 4H-SiC MOSFET Workshop on Compound Semiconductor Devices PEREIRA, F.CRISTIANO, influence on nitrogen implantation and Integrated Circuits and Expert Evaluation & A.BELTRAN, S.SCHAMMControl of Compound Semiconductor Materials and CHARDON, C.STRENGER, Technologies (WOCSDICE-EXMATEC 2012), V.HAUBLEIN, A.JBAUER Porquerolles (France), 30 Mai 1 Juin 2012, 2p. M.PALOSSE, I.SEGUY, C.VILLENEUVE-FAURE, C.MALLET, P.FRERE, C.GATEL, J.F.BOBO C.FORMOSA, M.GRARE, R.DUVAL, E.DAGUE Evidence of impurity impact avalanche in p_type diamond Spin transport in thiophene derivatives based Joint European Magnetic Symposia ( JEMS ) 2012 organic spin valves du 09 septembre au 14 septembre 2012, Parme (Italie), Résumé, 2012, 1p. AFM for clinical microbiology: the example of Annual Linz Winter Workshop 2013 du 15 février au Cx1 and pseudomonas aeruginosa 18 février 2013, Linz (Autriche), Février 2013, 1p. Z.ESSA, F.CRISTIANO, Continuum modelling of large boron-interstitial- E-MRS Spring Meeting 2013 du 27 mai au 31 mai O.COJOCARU, D.MANGELINCK, clusters in silicon 2013, Strasbourg (France), Mai 2013, 1p. (Résumé) D.BLAVETTE, S.DUGUAY, N.ZOGRAPHOS, P.BOULENC, C.TAVERNIER Scientific Production — 179 MSI13772 MSI13791 J.DUCHAINE, F.TORREGROSA, F.MILESI, S.PRUSSIN, F.CRISTIANO, A.CLAVERIE Activation and diffusion of boron atoms implanted by ion beam line conventional implanter (BL) and plasma immersion ion implanter (PIII) G.FISICARO, L.PELAZ, P.LOPEZ, Boron activation and defects dynamics in Si M.ITALIA, K.HUET, F.CRISTIANO, solid-phase during excimer laser annealing Z.ESSA, Y.QIU, E.BEDELprocesses PEREIRA, A.LA MAGNA [MSN] Ref Conferences without proceedings -‐national-‐ Authors Title MSN09273 D.T.L.LE, M.P.DUVIAU, P.LOUBIERE, E.DAGUE, M.MERCIER-BONIN B.REIG, V.BARDINAL, T.CAMPS, C.LEVALLOIS, C.VERGNENEGRE, J.B.POURCIEL, J.B.DOUCET, E.DARAN J.M.DUCERE, A.HEMERYCK, A.ESTEVE, M.DJAFARI ROUHANI, G.LANDA, C.TROPIS, P.MENINI, A.MAISONNAT, P.FAU, B.CHAUDRET Q.HE, H.HAJJOUL, Y.VIERO, F.SEVERAC, C.BERGAUD, C.MILLOT, S.MAZERES, L.SALOME, A.BANCAUD B.REIG, T.CAMPS, V.BARDINAL MSN09387 MSN09528 MSN10496 MSN10591 MSN10593 MSN10635 MSN10861 MSN11013 de Fabrication and application of textured GDR Micro Nano Fluidique, Lille, 5-6 Mai 2010, 5p. hydrogels for hydrodynamics-directed assembly of nano-objects over large surface Conception de membranes en polymère pour la Journées Nano Micro et Optoélectronique (JNMO microoptique active sur VCSELs 2010), Les Issambres (France), 28 Septembre - 1 Octobre 2010, 1p. (Résumé) D.BARAT, B.REIG, T.CAMPS, Micro-optique auto-alignée sur VCSELs par Journées Nano Micro et Optoélectronique (JNMO J.B.DOUCET, E.DARAN, photopolymérisation infrarouge 2010), Les Issambres (France), 28 Septembre - 1 V.BARDINAL Octobre 2010, 1p. (Résumé) I.SEGUY, C.VILLENEUVE-FAURE, Diffusion de porteurs polarisés en spin dans un Dispositifs Electroniques Organiques (DIELOR E.BEDEL-PEREIRA, M.PALOSSE, semi-conducteur organique 2010), Giens (France), 11-13 Octobre 2010, 1p. J.F.BOBO, B.WAROT-FONROSE (Résumé) K.GRENIER, D.DUBUC, T.CHEN, Les ondes hyperfréquences comme outil MC.BLATCHE d'analyse biologique K.GRENIER, D.DUBUC Apport des ondes hyperfréquences pour la détection et l'analyse de millieux biologiques T.CHEN, D.DUBUC, K.GRENIER, Dielectrophoretic capture of single cell for M.POUPOT, J.J.FOURNIE microwave bio-analysis MSN11862 M.PALOSSE, E.BEDEL-PEREIRA, F.OLIVIE, I.SEGUY, C.VILLENEUVE-FAURE, T.BLON, C.GATEL, B.WAROT-FONROSE, J.F.BOBO F.LARRAMENDY MSN12447 MSN12647 MSN12648 MSN13157 16e Colloque du Club des Bactéries Lactiques (CBL 2009), Toulouse (France), 27-29 Mai 2009, 1p. (Résumé) Optique 2009. Horizons de l'Optique, Lille (France), 6-9 Juillet 2009, 3p. capteurs GDR-DFT++, Dourdan (France), 2-6 Février 2009, 1p. (Résumé) MSN11685 MSN12331 E-MRS Spring Meeting 2013 du 27 mai au 31 mai 2013, Strasbourg (France), Mai 2013, 1p. Published in: Exploration par AFM des interaction entre Lactococcus lactis et les mucines de la muqueuse intestinale Auto-alignement de microlentilles en polymère pour la focalisation active des VCSELs Modélisation multi-échelle chimiques à base de SnO2 E-MRS Spring Meeting 2013 du 27 mai au 31 mai 2013, Strasbourg (France), Mai 2013, 1p. (Résumé) Vers une vanne de spin organique à température ambiante: propriétés structurales, magnétiques et de transport d'hétérojonction Fe3O4/PTCTE/Co Séminaire "Diagnostic et thérapie du cancer de la peau", Toulouse (France), 9 Juillet 2010, 1p. 3èmes Rencontres Thématiques de la Fondation RITC, Toulouse (France), 27 Janvier 2011, 1p. (Résumé) Journées Nationales du Réseau Doctoral en Microélectronique (JNRDM 2011), Paris (France), 2325 Mai 2011, 3p. Colloque Louis Néel ( CLN ) 2011 du 21 septembre au 23 septembre 2011, Brest (France), Septembre 2011, 2p. Interface neurones - puces électroniques pour la Journées Nationales du Réseau Doctoral en mesure de potentiels d'actions Microélectronique (JNRDM 2012), Marseille (France), 18-20 Juin 2012, 1p. Z.ESSA, F.CRISTIANO, Modélisation TCAD des profils et fuites de Journées Nationales du Réseau Doctoral en P.BOULENC, C.TAVERNIER, jonctions ultra-minces dans les technologies Microélectronique (JNRDM 2012), Marseille F.OLIVIE avancées (France), 18-20 Juin 2012, 5p. M.PALOSSE, I.SEGUY, E.BEDEL- Transport polarisé en spin dans des vannes de Congrès sur les DIspositifs de l'ELectronique PEREIRA, C.VILLENEUVEspin organiques à base de dérivés de ORganique ( DIELOR ) 2012 du 14 novembre au 16 FAURE, C.MALLET, P.FRERE, thiophènes novembre 2012, Limoges (France), Prix de la T.BLON, J.F.BOBO meilleure présentation orale, 2012, 2p. D.HERNANDEZ MALDONADO, Caractérisations morphologiques et optiques de Congrès sur les DIspositifs de l'ELectronique B.GARREAU-DE-BONNEVAL, films minces P3HT : Ni-bdt pour application aux ORganique ( DIELOR ) 2012 du 14 novembre au 16 K.MOINEAU CHANE CHING, cellules photovoltaïques novembre 2012, Limoges (France), Novembre 2012, C.VILLENEUVE-FAURE, E.BEDEL2p. PEREIRA, F.OLIVIE, I.SEGUY, F.ALARY, J.L.HEULLY V.MORTET, M.BRUNET, 2D and 3D characterization of high-k thin films Oxydes Fonctionnels pour l'Intégration en Micor- et E.SCHEID, V.LONGO, deposited by ALD for high-density capacitors Nano-électronique 2013 du 07 avril au 10 avril W.M.M.KESSELS, 2013, Autrans (France), Avril 2013, 1p. F.ROOZEBOOM Scientific Production — 180 MSN13181 MSN13301 MSN13480 E.AL ALAM, M.P.BESLAND, Caractérisation de structures MOS SiO2/GaN A.CAZARRE, F.MORANCHO, réalisées par PECVD sur saphir et silicium I.CORTES, T.BEGOU, A.GOULLET, F.OLIVIE, Y.CORDIER, K.ISOIRD D.HERNANDEZ MALDONADO, P3HT: Ni-bdt thin films for photoconversion. K.MOINEAU CHANE CHING, Morphological and optical studies of films and C.VILLENEUVE-FAURE, E.BEDEL- interfaces PEREIRA, I.SEGUY C.TALHI, F.BLANC, N.DUFOUR, Nez électronique P.MENINI, C.GANIBAL Journées Nano, Micro et Optoélectronique ( JNMO ) 2013 du 21 mai au 23 mai 2013, Evian (France), Mai 2013, 2p. Journées Nationales sur la Récupération et le Stockage d'Energie ( JNRSE ) 2013 du 27 mars au 28 mars 2013, Toulouse (France), Mars 2013, 2p. Rencontres Electronique du CNRS 2013 du 30 septembre au 04 octobre 2013, Strasbourg (France), Septembre 2013, 24p. [OAI] Ref Books (author) -‐international-‐ Authors Title OAI13559 L.NICU, T.LEICHLE [OEI] Ref Books (editor) -‐international-‐ Authors Title Published in: OEI14310 F.CRISTIANO, P.PICHLER, C.TAVERNIER, W.WINDL Proceedings of E-MRS 2013 Spring Meeting. Symposium K, Janvier 2014, Physica Status Solidi C [OPI] Ref Books (contribution) -‐international-‐ Authors Title OPI08678 T.NOULIS, S.SISKOS, L.BARY, G.SARRABAYROUSE OPI09678 A.CERF, C.VIEU OPI09745 D.DUBUC, K.GRENIER RF-MEMS based tuner for microwave and millimeterwave applications OPI09786 C.GOSSE, C.BERGAUD, P.LOW Molecular Probes for Temperature Measurements in Microfluidics OPI09787 L.AIGOUY, B.SAMSON, E.SAIDI, P.LOW, C.BERGAUD, J.LABEGUERIE-EGEA, C.LASBRUGNAS, M.MORTIER C.ESCRIBA, R.FULCRAND, P.ARTILLAN, D.JUGIEU, A.BANCAUD, A.BOUKABACHE, A.M.GUE, J.Y.FOURNIOLS K.GRENIER, D.DUBUC Scanning thermal microscopy with Fluorescent Nanoprobes OPI10440 OPI13544 Published in: Micro and Nanoelectromechanical biosensors Physics and Technology of advanced extra funcionality CMOS-based devices Wiley ISTE Ltd, N°ISBN 9781848214798, Janvier 2014, 120p. Published in: Discussion on 1/f noise in CMOS transistors: modelling-simulation and measurement techniques Soft lithography, a tool to address single-objects investigaions CMOS Technology, Editor: Min-jun Knon Nova Publishers, N°ISBN 978-1-61761-325-8, Novembre 2010, Chapter 8, pp.1-24 Lithography , InTech, Michael Wang (Eds), 656p., N°ISBN 978-953-307-064-3, Février 2010, Chapter 22, 10p. Advanced Microwave and Millimeter Wave Technologies, N°ISBN 978-953-307-031-5, 2010, Chapter 15, pp.303-324 Thermal Nanosystems and Nanomaterials, Springer, N°978-3-642-04257-7, 8 Décembre 2009, pp.225265 Thermal Nanosystems and Nanomaterials, Vol.118, Springer, N°978-3-642-04257-7, 8 Décembre 2009, pp.505-535 Trapping biological species in a Lab-on-chip VLSI-SoC: Design Methodologies for SoC and siP, microsystem: micro inductor optimization design Eds: C.Piguet, R.Reis, D.Soudris, Springer, 285p., and SU8 process N°ISBN 978-3-642-12266-8, 2010, pp.81-96 RF MEMS fabrication technologies OPI13547 E.DUBOIS, G.LARRIEU, N.RECKINGER, X.TANG, J.P.RASKIN, M..VINET, L.HUTIN Schotty source dan drain MOSFETs OPI13660 D.DUBUC, K.GRENIER Radio frequency (RF)-MEMS for smart communication microsystems [OPN] Ref Books (contribution) -‐national-‐ Authors Title OPN12882 P.MENINI Handbook of MEMS For Wireless And Mobile Applications, Woodhead Publishing, N°ISBN 9780857092717, D Uttamchandani (Eds), 510p., Août 2013, Part I, Chapter 4, pp.67-99 Intelligent Integrated Systems - Advances and Applications , Pan Stanford Publishing, N°ISBN 9789814411424, by Simon Deleonibus, Octobre 2013, Chapter I, 29p. Smart Sensors and MEMS, Intelligent devices and microsystems for industrial applications, Woodhead Publishing, S.Nihtianov and A.Luque (Eds), 538p., Décembre 2013, Chapter 16, pp.472-491 Published in: Technologie des capteurs de gaz Scientific Production — 181 Capteurs Chimiques, biocapteurs et biopuces, Lavoisier Hermès Science, N°ISBN 9782746238329, Sous la direction de René Lalauze, Janvier 2012, Chapitre 8, pp.165-195 [RVSI] Ref Scientific Journals -‐international-‐ Authors Title RVSI07713 R.MAHAMDI, L.SACI, Boron diffusion and activation in polysilicon Microelectronics F.MANSOUR, P.TEMPLE BOYER, multilayer films for P+MOS structure: Janvier 2009 E.SCHEID, L.JALABERT characterization and modeling Journal, Vol.40, N°1, pp.1-4, RVSI07714 R.MAHAMDI, F.MANSOUR, Nitrogen doped silicon films for MOS structures: Microelectronics H.BOURIDAH, P.TEMPLE BOYER, simulation, modelling and characterization Janvier 2009 E.SCHEID, L.JALABERT Journal, Vol.40, N°1, pp.1-4, RVSI07732 R.MAHAMDI, L.SACI, Physicochemical characterization of annealed Spectroscopy Letters, Vol.2, pp.347-353, Mars 2009 F.MANSOUR, P.TEMPLE BOYER, polySi/NIDOS/SiO2 structures E.SCHEID, L.JALABERT RVSI08313 L.TANGUY, L.NICU RVSI08614 J.B.SAUVEPLANE, E.SCHEID, A.DERAM RVSI08631 M.BRUNET, E.SCHEID, K.GALICKA-FAU, M.ANDRIEUX, C.LEGROS, I.GALLET, M.HERBST, S.SCHAMMCHARDON Y.LEBOUR, D.NAVARRO, P.PELLEGRINO, G.SARRABAYROUSE, L.PAVESI, B.GARRIDO A.MARTINEZ RIVAS, P.CHINESTRA, G.FAVRE, S.PINAUD, C.SEVERAC, J.C.FAYE, C.VIEU R.DURA, F.MATHIEU, L.NICU, F.PEREZ-MURANO, F.SERRAGRAELLS R.MAHAMDI, L.SACI, F.MANSOUR, C.MOLLIET, P.TEMPLE BOYER, E.SCHEID V.VERVISCH, Y.LARMANDE, P.DELAPORTE, T.SARNET, M.SENTIS, H.ETIENNE, F.TORREGROSA, F.CRISTIANO F.SEVERAC, F.CRISTIANO, E.BEDEL-PEREIRA, P.F.FAZZINI, W.LERCH, S.PAUL L.TANGUY, S.SALOMON, L.NICU RVSI08650 RVSI08730 RVSI08756 RVSI08780 RVSI08883 RVSI09026 RVSI09036 RVSI09041 A.CERF, C.THIBAULT, M.GENEVIEVE, C.VIEU RVSI09143 A.CERF, J.C.CAU, C.VIEU, E.DAGUE P.TEMPLE BOYER, L.MAZENQ, J.B.DOUCET, V.CONEDERA, B.TORBIERO, J.LAUNAY A.CERF, C.VIEU RVSI09170 RVSI09174 RVSI09263 RVSI09288 RVSI09289 RVSI09378 M.NGAMO, S.DUGUAY, F.CRISTIANO, K.DAOUDKETATA, P.PAREIGE H.LALO, C.VIEU H.LALO, J.C.CAU, C.THIBAULT, N.MARSAUD, C.SEVERAC, C.VIEU R.FULCRAND, D.JUGIEU, C.ESCRIBA, A.BANCAUD, D.BOURRIER, A.BOUKABACHE, A.M.GUE Published in: Controlled localisation and enhanced gathering of particles on microfabricated concentrators assisted by AC-electroosmosis On the accurate determination of micro-scale material's thermomechanical properties. Application to AlSi1% chip metallization from a power semiconductor device Characterization of ZrO2 thin films deposited by MOCVD for high-density 3D capacitors Journal of Applied Physics, Vol.105, pp.102043-1102043-8, Juin 2009 Microelectronics Reliability, Vol.49, N°5, pp.499-505, Mai 2009 Microelectronic Engineering, Vol.86, N°10, pp.20342037, Octobre 2009 Optical amplification studies in Si nanocrystals- Physica E: Low-dimensional Systems and based waveguides prepared by ion-beam Nanostructures, Vol.41, N°6, pp.1044-1047, Mai synthesis 2009 Detection of label-free cancer biomarkers using International Journal of Nanomedicine, Vol.5, pp.661nickel nanoislands and quartz crystal 668, Septembre 2010 microbalance A 0.35µm 1.25V piezo-resistance digital ROIC IEEE Transactions on Circuits and Systems, 2009 for liquid dispensing MEMS Physico-chemical properties of SiOxNy thin films International Journal of Nano and Biomaterials, Vol.2, pp.347-353, Décembre 2009 Laser activation of Ultra Shallow Junctions Applied Surface Science, N°255, pp.5647-5650, (USJ) doped by Plasma Immersion Ion Janvier 2009 Implantation (PIII) Impact of boron-interstitial clusters on Hall scattering factor in high dose boron-implanted ultra-shallow junctions Spectral collocation computation modeling and experimental validation for cylindrical ACelectroosmotic flows for bioconcentration purposes Ordered arrays of single DNA molecules by a combination of capillary assembly, molecular combing and soft lithography Nanomechanical properties of dead or alive single patterned bacteria Theoretical studies of the spin coating process for the deposition of polymer-based maxwellian liquids Transfert printing of sub-100 nm nanoparticles by soft lithography with solvent mediation Journal of Applied Physics, Vol.105, N°4, pp.0437111-043711-6, Février 2009 Applied Physics Letters, Vol.94, 034101p., Janvier 2009 Microelectronic Engineering, Vol.86, N°4-6, pp.14191423, Avril 2009 Langmuir, Vol.25, N°10, pp.5731-5736, Mai 2009 Microelectronic Engineering, Vol.87, N°2010, pp.163166, Décembre 2009 Colloids and Surfaces A: Physicochemical and Engineering Aspects, Vol.342, N°1-3, pp.136-140, Juin 2009 Atomic scale study of boron interstitial clusters Journal of Applied Physics, Vol.105, N°10, in ion-implanted silicon pp.104904-1-104904-5, Janvier 2009 Nanoscale patterns of dendrimers obtained by Langmuir, Vol.25, N°13, pp.7752-7758, Juin 2009 soft-lithography using elastometric stamps spontaneously structured by plasma treatment Microscale multiple biomolecules printing in one Microelectronic Engineering, Vol.86, N°4-6, pp.1428step using a PDMS macrostamp 1430, Avril 2009 Development of a flexible microfluidic system Journal of Micromechanics and Microengineering, integrating magnetic micro-actuators for trapping Vol.19, N°10, 105019p., Octobre 2009 biological species Scientific Production — 182 RVSI09508 RVSI09582 RVSI09611 RVSI09613 RVSI09616 RVSI09619 RVSI09676 RVSI09686 RVSI09699 RVSI09710 RVSI09711 RVSI09723 RVSI09756 RVSI09762 RVSI09763 RVSI09777 RVSI09851 RVSI09901 RVSI09971 RVSI09972 RVSI09973 V.PUYAL, D.DRAGOMIRESCU, C.VILLENEUVE-FAURE, J.RUAN, P.PONS, R.PLANA J.RUAN, N.NOLHIER, G.J.PAPAIOANNOU, D.TREMOUILLES, V.PUYAL, C.VILLENEUVE-FAURE, T.IDDA, F.COCCETTI, R.PLANA K.GALICKA-FAU, M.ANDRIEUX, C.LEGROS, I.GALLET, M.BRUNET, E.SCHEID, S.SCHAMM-CHARDON C.MAJ, M.OLSZACKI, M.AL BAHRI, E.SCHEID, A.NAPIERALSKI M.OLSZACKI, C.MAJ, M.AL BAHRI, P.PONS, J.C.MARROT, A.NAPIERALSKI T.ALAVA, N.BERTHET-DUROURE, C.AYELA, E.TREVISIOL, M.PUGNIERE, Y.MOREL Frequency scalable model for MEMS capacitive IEEE Transactions on Microwave Theory and shunt switches at millimeter wave frequencies Techniques, Vol.57, N°11, pp.2824-2833, 10 Novembre 2009 Accelerated lifetime test of FR-MEMS switches Microelectronics Reliability, Vol.49, N°9-11, pp.1256under ESD stress 1259, Septembre 2009 ZrO2 Thin Films Grown On 2D and 3D Silicon ECS Transactions , Vol.25, N°8, pp.1121-1128, 2009 Surfaces By DLI-MOCVD For Electronic Devices Parasitic phenomena in electrostatic actuators based on sealed cavity fabricated with waferbonding technology The effect of parasitic doping on P-type piezoresistors Procedia Chemistry, Septembre 2009 Procedia Chemistry, Septembre 2009 Vol.1, Vol.1, N°1, N°1, pp.429-432, pp.44-47, Parallel acoustic detection of biological warfare Sensors and Actuators B: Chemical, Vol.138, N°2, agents surrogates by means of piezoelectric pp.532-538, Mai 2009 immunochips A.CERF, G.MOLNAR, C.VIEU Novel approach for the assembly of highly ACS Applied Materials & Interfaces, Vol.1, N°11, efficient SERS substrates pp.2544-2550, Novembre 2009 P.TEMPLE BOYER, B.ROUSSET, Properties of silicon thin films obtained by low- Thin Solid Films, Vol.518, N°23, pp.6897-6903, E.SCHEID pressure chemical vapour deposition Septembre 2010 Z.SOUAR, R.BECHAREF, An Original VGPI Controller Applied for Speed International Review of Automatic Control F.OLIVIE Control of an Indirect Field Oriented Induction (I.RE.A.CO.), Vol.2, N°4, 6p., Juillet 2009 Machine Drives A.LUU, P.AUSTIN, N. BUARD, Sensitive volume and triggering criteria of SEB IEEE Transactions on Nuclear Science, Vol.57, N°4, T.CARRIERE, P.POIROT, in classic planar VDMOS pp.1900-1907, Août 2010 R.GAILLARD, M.BAFLEUR, G.SARRABAYROUSE M.FRAGOPOULOU, S.SISKOS, Thermal neutron dosimetry using MOSFET Radiation Measurements, Vol.44, pp.1006-1008, 21 M.MANOLOPOULOU, M.ZAMANI, dosemeters Octobre 2009 G.SARRABAYROUSE J.M.DUCERE, A.HEMERYCK, A computational chemist approach to Gas Journal of Computational Chemistry, Vol.33, N°3, A.ESTEVE, M.DJAFARI sensors: modeling the response of SnO2 to CO, pp.247-258, Janvier 2012, DOI: 10.1002/jcc.21959 ROUHANI, G.LANDA, P.MENINI, O2, and H2O gases C.TROPIS, A.MAISONNAT, P.FAU, B.CHAUDRET J.F.BOBO, B.WAROT-FONROSE, Structural, Magnetic end Magnetoresistive IEEE Transactions on Magnetics, Vol.46, N°6, C.VILLENEUVE-FAURE, E.BEDEL- properties of PTCTE Based Organic Spin Valves pp.2090-2093, Juillet 2010 PEREIRA, I.SEGUY C.THIBAULT, F.CARCENAC, Porous silicon membrane, with an integrated Microelectronic Engineering, Vol.86, N°4-6, pp.1393E.DAGUE, J.CHALMEAU, C.VIEU aqueous supply, for two chamber AFM 1395, Avril-Juin 2009 J.CHALMEAU, A.DAGKESSAMANSKAIA, C.LE GRIMELLEC, J.M.FRANCOIS, J.STERNICK, C.VIEU J.C.CAU, H.LALO, C.SEVERAC, J.P.PEYRADE, E.TREVISIOL, V.LEBERRE, J.M.FRANCOIS, C.VIEU C.THIBAULT, G.MOLNAR, L.SALMON, A.BOUSSEKSOU, C.VIEU Y.ROUPIOZ, N.BERTHETDUROURE, T.LEICHLE, J.B.POURCIEL, P.MAILLEY, S.CORTES, M.B.VILLIERS, P.N.MARCHE, T.LIVACHE, L.NICU Contribution to the elucidation of the structure of Ultramicroscopy, Vol.109, N°8, pp.845-853, Juillet the bacterial flagellum nano-motor through AFM 2009 imaging of the M-Ring P.F.FAZZINI, F.CRISTIANO, E.TALBOT, G.BEN ASSAYAG, S.PAUL, W.LERCH, A.PAKFAR, J.M.HARTMANN E.M.BAZIZI, A.PAKFAR, P.F.FAZZINI, F.CRISTIANO, C.TAVERNIER, A.CLAVERIE, N.ZOGRAPHOS, C.ZECHNER S.DUGUAY, M.NGAMO, P.F.FAZZINI, F.CRISTIANO, K.DAOUD-KETATA, P.PAREIGE Effect of Germanium content and strain on the Thin Solid Films, Vol.518, N°9, pp.2338-2341, formation of extended defects in ion implanted Février 2010 Silicon/Germanium Molecular analysis for medicine: a new Oncologie, Vol.11, pp.1-5, 12 Décembre 2009 technological platform based on nanopatterning and label-free optical detection Soft lithographic patterning of spin crossover Langmuir, Vol.26, N°3, pp.1557-1560, 2 Décembre nanoparticles 2009 Individual blood-cell capture organisation on microarrays and 2D Small, Vol.5, N°13, pp.1493-1497, Juillet 2009 Transfer of physically-based models from Thin Solid Films, Vol.518, N°9, pp.2427-2430, process to device simulations: Application to Février 2010 advanced SOI MOSFETs Atomic scale study of a MOS structure with an Thin Solid Films, Vol.518, N°9, pp.2398-2401, ultra-low energy boron-implanted silicon Février 2010 substrate Scientific Production — 183 RVSI09974 RVSI09975 RVSI10001 RVSI10003 RVSI10014 RVSI10044 RVSI10079 RVSI10204 RVSI10227 RVSI10238 RVSI10247 RVSI10252 RVSI10307 RVSI10321 RVSI10333 RVSI10404 RVSI10421 RVSI10441 RVSI10442 N.E.B.COWERN, N.BENNETT, C.AHN, J.C.YOON, S.HAMM, W.LERCH, H.KHEYRANDISH, F.CRISTIANO, A.PAKFAR D.DE SALVADOR, G.BISOGNIN, E.NAPOLITANI, M.MASTROMATTEO, N.BAGGIO, A.CARNERA, F.BOSCHERINI, G.IMPELLIZZERI, S.MIRABELLA, S.BONINELLI, F.PRIOLO, F.CRISTIANO L.SALMON, G.MOLNAR, D.ZITOUN, C.M.QUINTERO PINZON, C.BERGAUD, JC.MICHEAU, A.BOUSSEKSOU L.AIGOUY, E.SAIDI, L.LALOUAT, J.LABEGUERIE-EGEA, M.MORTIER, P.LOW, C.BERGAUD M.FRAGOPOULOU, V.KONSTANTAKOS, M.ZAMANI, S.SISKOS, T.LAOPOULOS, G.SARRABAYROUSE V.BARDINAL, B.REIG, T.CAMPS, E.DARAN, J.B.DOUCET, C.TURCK, J-P.MALVAL, DJ.LOUGNOT, O.SOPPERA T.CAMPS, V.BARDINAL, E.HAVARD, M.CONDE, C.FONTAINE, G.ALMUNEAU, L.SALVAGNAC, S.PINAUD, J.B.DOUCET L.FADEL-TARIS, S.POIRIER, S.VINSONNEAU, F.MESNILGRENTE, P.TEMPLE BOYER F.SEKLI, P.TEMPLE BOYER, P.GROS Overlayer stress effects on defect formation in Thin Solid Films, Vol.518, N°9, pp.2442-2447, Si and Ge Février 2010 V.N.PHAN, N-T. NGUYEN , C. YANG, P.JOSEPH, L.DJEGHLAF, D.BOURRIER, A.M.GUE V.N.PHAN, P.JOSEPH, L.DJEGHLAF, A.ALLOUCH, D.BOURRIER, P.ABGRALL, A.M.GUE, C. YANG, N-T. NGUYEN Capillary filling in closed end nanochannels Formation and incorporation of SiF4 molecules Applied Physics Letters, Vol.95, N°10, 101908p., in F-implanted preamorphized Si Septembre 2009 A novel approach for fluorescent thermometry Journal of Materials Chemistry , Vol.20, N°26, and thermal imaging purposes using spin pp.5499-5503, Mai 2010 crossover nanoparticles Ac-thermal imaging of microwire with a Journal of Applied Physics, Vol.106, N°7, pp.074301fluorescent nanocrystal : influence of the near- 074301-9, Octobre 2009 field on the thermal contrast High sensitivity dosimetry MOSFET-based neutron Nuclear Instruments and Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment, Vol.621, N°13, pp.611-614, Septembre 2010 A microtip self-written on a vertical-cavity Applied Physics Letters, Vol.96, 051114p., Février surface-emitting laser by photopolymerization 2010 Management of the electrical injection uniformity European Physical Journal D, Vol.59, N°1, pp.53-57, in broad-area top-emitting VCSELs Juillet 2010 Experimental temperature compensation on Micro and Nanosystems, Vol.2, N°2, pp.137-141, drop-on-demand inkjet printing Juin 2010 Voltammetric microsensor using PEDOT Journal of Electroanalytical Chemistry, Vol.647, N°2, modified gold electrode for the simultaneous pp.159-168, Septembre 2010 assay of ascorbic and uric acids T.ALAVA, F.MATHIEU, L.MAZENQ, Silicon-based micromembranes with Journal of Micromechanics and Microengineering, C.SOYER, D.REMIENS, L.NICU piezoelectric actuation and piezoresistive Vol.20, N°7, 075014p., Juillet 2010 detection for sensing purposes in liquid media F.PILLET, C.THIBAULT, Simple surface chemistry to immobilize DNA Sensors and Actuators B: Chemical, Vol.147, N°1, S.BELLON, E.MAILLART, probes that significantly increases sensitivity pp.87-92, Mai 2010 E.TREVISIOL, C.VIEU, and spots density od surface plasmon J.M.FRANCOIS, V.LEBERRE resonance imaging based microarray systems N.PANISSAL, J.C.CAU, C.MARTIN- Nanotechnology training before university: a Journal of Materials Education, Vol.33, N°1-2, pp.1CERCLIER, C.SEVERAC, new approach combining scientific and social 13, Septembre 2011 C.THIBAULT, E.BROSSAIS, issues C.VIEU H.HALLIL, F.CHEBILA, P.MENINI, Feasibility of passive Gas sensor based on Sensors & Transducers, Vol.116, N°5, pp.38-48, Mai H.AUBERT whispering gallery modes and its RADAR 2010 interrogation: theoretical and experimental investigations L.TOMAZELI DUARTE, A dataset for the design of smart ion-selective IEEE Sensors, Vol.10, N°12, pp.1891-1892, Octobre C.JUTTEN, P.TEMPLE BOYER, electrode arrays for quantitative analysis 2010 A.BENYAHIA, J.LAUNAY E.DAGUE, R.BITTAR, An atomic force microscopy analysis of yeast Yeast, Vol.27, N°8, pp.673-684, Août 2010 H.RANCHON, F.DURAND, H.M. mutans defective in cell wall architecture YKEN, J.M.FRANCOIS V.BARDINAL, B.REIG, T.CAMPS, Spotted custom lenses to tailor the divergence IEEE Photonics Technology Letters, Vol.22, N°21, E.DARAN, C.LEVALLOIS, of vertical-cavity surface-emitting lasers pp.1592-1594, Novembre 2010 C.VERGNENEGRE, T.LEICHLE, G.ALMUNEAU, J.B.DOUCET F.SEVERAC, F.CRISTIANO, Influence of Boron-Interstitials Clusters on hole Journal of Applied Physics, Vol.107, N°12, 123711p., E.BEDEL-PEREIRA, P.F.FAZZINI, mobility degradation in high dose boron- 15 Juin 2010 J.BOUCHER, W.LERCH, S.HAMM implanted ultra-shallow junctions Langmuir, Vol.26, Septembre 2010 N°16, pp.13251-13255, Capillary filling in nanochannels - Modeling, Heat Transfer Engineering, Vol.32, N°7-8, pp.624fabrication and experiments 635, Novembre 2011 Scientific Production — 184 RVSI10501 E.M.BAZIZI, P.F.FAZZINI, Modelling of the effect of the burried Si-SiO2 Journal of Applied Physics, Vol.107, N°7, pp.074503A.PAKFAR, C.TAVERNIER, interface on transient enhanced boron diffusion 1-074503-4, 8 Avril 2010 B.VANDELLE, H.KHEYRANDISH, in silicon on insultator S.PAUL, W.LERCH, F.CRISTIANO RVSI10502 F.PANCIERA, P.F.FAZZINI, M.COLLET, J.BOUCHER, E.BEDEL-PEREIRA, F.CRISTIANO E.DAGUE, D.T.L.LE, S.ZANNA, P.MARCUS, P.LOUBIERE, M.MERCIER-BONIN M.BRUNET, H.MAHFOZ-KOTB, L.BOUSCAYROL, E.SCHEID, M.ANDRIEUX, C.LEGROS, S.SCHAMM-CHARDON M.MATMAT, K.KOUKOS, F.COCCETTI, T.IDDA, A.MARTY, C.ESCRIBA, J.Y.FOURNIOLS, D.ESTEVE H.LALO, C.AYELA, E.DAGUE, C.VIEU, K.HAUPT Z.SOUAR, K.CHEGROUNE, F.OLIVIE M.PALOSSE, M.FISICHELLA, E.BEDEL-PEREIRA, I.SEGUY, C.VILLENEUVE-FAURE, B.WAROT-FONROSE, J.F.BOBO G.A.SEISENBAEVA, S.GOHIL, V.G.KESSLER, M.ANDRIEUX, C.LEGROS, P.RIBOT, M.BRUNET, E.SCHEID W.SANT, P.TEMPLE BOYER, E.CHANIE, J.LAUNAY, A.MARTINEZ S.COBO, G.MOLNAR, F.CARCENAC, P.SZILAGYI, L.SALMON, C.VIEU, A.BOUSSEKSOU S.PACCHINI, D.DUBUC, E.FLAHAUT, K.GRENIER RVSI10531 RVSI10555 RVSI10563 RVSI10589 RVSI10599 RVSI10636 RVSI10641 RVSI10680 RVSI10711 RVSI10753 RVSI10755 RVSI10756 RVSI10777 RVSI10799 RVSI10891 RVSI10935 RVSI10942 RVSI10943 RVSI10977 RVSI10978 End-of-range defects in germanium and their Applied Physics Letters, Vol.97, N°1, pp.012105-1role in boron deactivation 012105-3, 7 Juillet 2010 Probing in vitro interactions between Langmuir, Vol.26, N°13, pp.11010-11017, Juillet Lactococcus lactis and mucins using AFM 2010 Nano-crystallized tetragonal metastable ZrO2 Thin Solid Films, Vol.519, N°16, pp.5638-5644, Juin thin films deposited by MOCVD for 3D 2011 capacitors Life expectancy and characterization capacitive RF MEMS switches of Microelectronics Reliability, Vol.50, N°9-11, pp.16921696, Octobre 2010 Nanopatterning molecularly imprinted polymers by soft lithography: a hierarchical approach Comparative analysis of PI and fuzzy logic controllers for a new intelligent control of speed Spin-polarized transport in NiFe/PTCTE/Co organic spin valves Lab on a Chip, Vol.10, N°10, pp.1316-1318, Mai 2010 International Review of Automatic Control (IREACO), Vol.3, N°1, pp.53-59, Janvier 2010 Journal of Applied Physics, Vol.109, N°07, 07C723p., Avril 2011 Controlling precursor stability and evaporation Applied Surface Science, Vol.257, N°6, pp.2281through molecular design. Pseudo single source 2290, Janvier 2011 precursor approach to MOCVD SrTiO3 thin films On-line monitoring of urea using enzymatic field Sensors and Actuators B: Chemical, Vol.160, N°1, effect transistors pp.59-64, Décembre 2011 Thin films of Prussian blue: sequencial Journal of Nanoscience and Nanotechnology, assembly, patterning and electron transport Vol.10, N°8, pp.5042-5050, Août 2010 properties at the nanometric scale Double-wall carbon nanotube-based polymer International Journal of Microwave and Wireless composites for electromagnetic protection Technologies, Vol.2, N°5, pp.487-495, Décembre 2010 A.LABERNADIE, G.CHARRIERE, Dynamics of podosome biophysical properties in Proceedings of National Academy of Sciences, C.THIBAULT, C.VIEU, human macrophages revealed by combining Vol.107, N°49, pp.21016-21021, Décembre 2010 I.MARIDONNEAU-PARINI atomic force microscopy and soft lithography J.CHALMEAU, N.MONINA, a-Hemolysin pore formation into a supported Biochemica et Biophysica Acta. Série J.SHIN, C.VIEU, V.NOIREAUX phospholipid bilayer using cell-free expression Biomembranes, Vol.1808, N°1, pp.271-278, Janvier 2011 G.ALMUNEAU, M.CONDE, High reflectivity monolithic sub-wavelength Journal of Optics, Vol.13, N°1, 015505p., Janvier O.GAUTHIER-LAFAYE, diffraction grating with GaAs/AlOx stack 2011 V.BARDINAL, C.FONTAINE M.OLSZACKI, C.MAJ, M.AL Experimental verification of temperature Journal of Micromechanics and Microengineering, BAHRI, J.C.MARROT, coefficients of resistance for uniformly doped P- Vol.20, N°6, 064008p., Juin 2010 A.BOUKABACHE, P.PONS, type resistors in SOI A.NAPIERALSKI T.ALAVA, F.MATHIEU, P.RAMEIL, Piezoelectric-actuated, piezoresistive-sensed Applied Physics Letters, Vol.97, N°9, pp.093703-1C.SOYER, D.REMIENS, L.NICU circular micromembranes for label-free 093703-3, Septembre 2010 biosensing applications S.PLISSARD, K.A.DICK, Gold-free growth of GaAs nanowires on silicon: Nanotechnology, Vol.21, N°38, 385602p., Août 2010 G.LARRIEU, S.GODEY, A.ADDAD, arrays and polytypism X.WALLART, P.CAROFF P.MELPIGNANO, C.CIOAREC, E-beam deposited ultra-smooth silver thin film R.CLERGEREAUX, on glass with different nucleation layers. An C.VILLENEUVE-FAURE, L.DATAS optimization study for OLED microcavity application C.VILLENEUVE-FAURE, P.PONS, Planarization optimization of RF-MEMS V.PUYAL, R.PLANA switches with a gold membrane H.BOURIDAH, F.MANSOUR, Properties of non-stoichiometric nitrogen doped M.R.BEGHOUL, R.MAHAMDI, LPCVD silicon thin films P.TEMPLE BOYER P.TEMPLE BOYER, B.ROUSSET, Influences of deposition and crystallization E.SCHEID kinetics on the properties of silicon films deposited by low-pressure chemical vapour deposition from silane and disilane Scientific Production — 185 Organic Electronics, Vol.11, N°6, pp.1111-1119, Juin 2010 Journal of Micromechanics and Microengineering, Vol.20, N°6, 064013p., Juin 2010 Crystal Research and Technology, Vol.45, N°2, pp.119-123, Février 2010 Thin Solid Films, Vol.518, N°23, pp.6897-6903, Février 2010 RVSI10979 RVSI10980 A.CERF, C.VIEU Nanopatterning at the service of single molecule assays A.MARTINEZ RIVAS, S.SUHARD, Simplified and direct microchannels fabrication M.MAUZAC, A.F.MINGOTAUD, at wafer scale with negative and positive C.SEVERAC, D.COLLIN, photopolymerizable polydimethylsiloxanes P.MARTINOTY, C.VIEU Biophysical Journal, Supplément 1, Vol.98, N°3, 758Ap., Janvier 2010 Microfluidics and Nanofluidics, Vol.9, N°2-3, pp.439446, Août 2010 RVSI10981 R.MAHAMDI, F.MANSOUR, Nitrogen doped silicon films heavily boron Materials Science in Semiconductor Processing, H.BOURIDAH, P.TEMPLE BOYER, implanted for MOS structures: Simulation and Vol.13, N°5-6, pp.383-388, Décembre 2010 E.SCHEID, L.JALABERT characterization RVSI10998 S.DUGUAY, T.PHILIPPE, F.CRISTIANO, D.BLAVETTE L.SACI, R.MAHAMDI, F.MANSOUR, J.BOUCHER, M.COLLET, E.BEDEL-PEREIRA, P.TEMPLE BOYER S.GUILLON, D.SAYA, L.MAZENQ, S.PERISANU, P.VINCENT, A.LAZARUS, O.THOMAS, L.NICU RVSI11151 RVSI11218 RVSI11219 RVSI11253 RVSI11256 RVSI11292 RVSI11293 RVSI11321 RVSI11330 RVSI11342 RVSI11354 RVSI11381 RVSI11412 RVSI11415 RVSI11418 RVSI11419 RVSI11425 Direct imaging of boron segregation to extended defects in silicon Study of nitrogen effects on the boron diffusion during heat treatment in PolySi/NIDOS thin films Applied Physics Letters, Vol.97, N°24, 242104p., Décembre 2010 Japanese Journal of Applied Physics, Vol.50, N°5, pp.051301-1-051301-5, Mai 2011 Effect of non-ideal clamping shape on the Nanotechnology, Vol.22, N°24, 245501p., Juin 2011 resonance frequencies of silicon nanocantilevers S.SALOMON, T.LEICHLE, L.NICU A dielectrophoretic continuous flow sorter using Electrophoresis, Vol.32, N°12, pp.1508-1514, Juin integrated microelectrodes coupled to a channel 2011 constriction Q.HE, F.SEVERAC, H.HAJJOUL, Directed assembly of nanoparticles along Langmuir, Vol.27, N°11, pp.6598-6605, Mai 2011 Y.VIERO, A.BANCAUD predictable large scale patterns using micromolded hydrogels F.SEVERAC, P.ALPHONSE, High energy Al/CuO nanocomposites obtained Advanced Functional Materials, Vol.22, N°2, pp.323A.ESTEVE, A.BANCAUD, by DNA-directed assembly 329, Janvier 2012, DOI: 10.1002/adfm.201100763 C.ROSSI X.L.HAN, G.LARRIEU, Realization of ultra dense arrays of vertical Microelectronic Engineering, Vol.88, N°8, pp.2622P.F.FAZZINI, E.DUBOIS silicon NWs with defect free surface and perfect 2624, Juin 2011 anisotropy using a top-down approach G.LARRIEU, E.DUBOIS CMOS inverter based on Schottky source-drain IEEE Electron Device Letters, Vol.32, N°6, pp.728MOS technology with low temperature dopant 730, Juin 2011 segregation S.GUILLON, D.SAYA, L.MAZENQ, Lead-zirconate titanate (PZT) nanoscale IEEE Transactions on Ultrasonics, Ferroelectrics, C.SOYER, D.REMIENS, patterning by ultraviolet-based lithographiy lift-off and Frequency Control, Vol.59, N°9, pp.1955-1958, J.COSTECALDE, L.NICU technique for nanoelectromechanical systems Septembre 2012 applications E.AL ALAM, I.CORTES, Effect of surface preparation and interfacial layer Journal of Applied Physics, Vol.109, N°8, 084511p., M.P.BESLAND, A.GOULLET, on the quality of GaN/SiO2 interfaces Avril 2011 L.LAJAUNIE, P.REGRENY, Y.CORDIER, J.BRAULT, A.CAZARRE, K.ISOIRD, G.SARRABAYROUSE, F.MORANCHO S.RODRIGUEZ VILCHES, Nanostructuration of soft hydrogels: synthesis Colloid & Polymer Science, Vol.289, N°13, pp.1437C.SEVERAC, C.THIBAULT, and characterization of saccharidic methacrylate 1449, Août 2011 L.LAPLATINE, C.VIEU, gels J.FITREMANN, A.F.MINGOTAUD, P.MARTINOTY, D.COLLIN S.PLISSARD, G.LARRIEU, High yield of self-catalyzed GaAs nanowire Nanotechnology, Vol.22, N°27, 275602p., Juillet X.WALLART, P.CAROFF arrays grown on silicon via gallium droplet 2011 positioning V.BARDINAL, T.CAMPS, B.REIG, Collective micro-optics technologies for VCSEL Advances in Optical Technologies, Vol.2011, D.BARAT, E.DARAN, J.B.DOUCET photonic integration N°paper ID 609643, 11p., Novembre 2011 L.AIGOUY, M.MORTIER, P.LOW, C.BERGAUD C.FORMOSA, M.GRARE, R.DUVAL, E.DAGUE Scanning thermal probe microscope that Review of Scientific Instruments, Vol.82, N°3, operates in liquids 036106p., Mars 2011 Nanoscale effects of antibiotics on P. aeruginosa Nanomedicine: Nanotechnology, Biology and Medicine, Vol.8, N°1, pp.12-16, Janvier 2012, DOI : http://dx.doi.org/10.1016/j.nano.2011.09.009 E.DAGUE, E.JAUVERT, L.LAPLATINE, B.VIALLET, C.THIBAULT, L.RESSIER Assembly of live micro-organisms on microstructured PDMS stamps by convective/capillary deposition for AFM bio-experiments D.T.L.LE, Y.GUERARDEL, Measuring kinetic dissociation/association P.LOUBIERE, M.MERCIERconstants between Lactococcus lactis bacteria BONIN, E.DAGUE and mucins, using living cell probes C.CIOAREC, P.MELPIGNANO, Ultrasmooth silver thin film electrodes with high N.GHERARDI, R.CLERGEREAUX, polar liquid wettability for OLED micro-cavity C.VILLENEUVE-FAURE application Scientific Production — 186 Nanotechnology, Septembre 2011 Vol.22, N°39, 395102p., Biophysical Journal, Vol.101, N°11, pp.2843-2853, Décembre 2011 Langmuir, Vol.27, N°7, pp.3611-3617, Juillet 2011 RVSI11448 RVSI11470 T.MAHFOUD, G.MOLNAR, S.COBO, L.SALMON, C.THIBAULT, C.VIEU, P.DEMONT, A.BOUSSEKSOU G.SARRABAYROUSE, S.SISKOS Electrical properties and non-volatile memory Applied Physics Letters, Vol.99, N°5, pp.053307-1effect of the [Fe(HB(pz)3)2] spin crossover 053307-3, Août 2011 complex integrated in a microelectrode device RVSI11498 A.LABROUSSE, E.MEUNIER, J.RECORD, A.LABERNADIE, A.BEDUER, C.VIEU, T.BEN SAFTA, I.MARIDONNEAU-PARINI Low dose measurement with thick gate oxide MOSFETs Frustrated phagocytosis on micro-patterned immune complexes to characterize lysosome movements in live macrophages. Radiation Physics and Chemistry, Vol.81, N°3, pp.339-344, Mars 2012 Frontiers in Immunology, Vol.2, N°51, 25p., Octobre 2011, doi: 10.3389/fimmu.2011.00051 RVSI11538 J.CHALMEAU, C.LE GRIMELLEC, Patterned domains of supported phospholipid J.STERNICK, C.VIEU bilayer using microcontact printing of Pll-g-PEG molecules N.CLEMENT, G.LARRIEU, Low frequency noise in schottky barriers based E.DUBOIS nanoscale field-effect transistors Colloids and Surfaces B: Biointerfaces, Vol.89, pp.188-195, Janvier 2012 RVSI11557 RVSI11561 RVSI11563 RVSI11565 RVSI11566 RVSI11569 RVSI11631 RVSI11632 RVSI11682 RVSI11741 RVSI11746 RVSI11750 RVSI11828 RVSI11884 C.STRENGER, V.HAUBLEIN, T.ERLBACHER, A.JBAUER, H.RYSSEL, A.BELTRAN, S.SCHAMM-CHARDON, V.MORTET, E.BEDEL-PEREIRA, M.LEFEBVRE, F.CRISTIANO V.MORTET, A.SOLTANI A.BELTRAN, S.SCHAMMCHARDON, V.MORTET, M.LEFEBVRE, E.BEDELPEREIRA, F.CRISTIANO, C.STRENGER, V.HAUBLEIN, A.JBAUER M.GAVELLE, G.SARRABAYROUSE, E.SCHEID, S.SISKOS, M.FRAGOPOULOU, M.ZAMANI I.DIKA, J-P.MALVAL, O.SOPPERA, V.BARDINAL, D.BARAT, C.TURCK, A.SPANGENBERG, A.BRUYANT C.BARTUAL, A.AKOU, L.SALMON, G.MOLNAR, C.THIBAULT, J.A.REAL, A.BOUSSEKSOU C.BARTUAL, L.SALMON, A.AKOU, C.THIBAULT, G.MOLNAR, T.MAHFOUD, Z.SEKKAT, J.A.REAL, A.BOUSSEKSOU T.MRABTI, M.EL OUARIACHI, R.MALEK, Ka.KASSMI, B.TIDHAF, F.BAGUI, F.OLIVIE, K.KASSMI IEEE Transactions on Electron Devices, Vol.59, N°1, pp.180-187, Janvier 2012, DOI: 10.1109/TED.2011.2169676 Comparative study of electrical and Materials Science Forum, Vol.717-720, pp.437-440, microstructural investigations of 4H-SiC Mai 2012 MOSFETs Impurity impact ionisation avalanche in p-type diamond Nano-analytical and electrical characterization of 4H-SiC MOSFETs Applied Physics Letters, Vol.99, N°20, pp.202105-1202105-3, Novembre 2011 Materials Science Forum, Vol.711, pp.134-138, Janvier 2012 MOSFET with a boron-loaded gate as a low- Radiation Physics and Chemistry, Vol.80, N°2, energy neutron dosimeter pp.1437-1440, Décembre 2011 Near-infrared photopolymerization: initiation Chemical Physics Letters, Vol.515, N°1-3, pp.91-95, process assisted by self-quenching and triplet- Octobre 2011 triplet annihilation of excited cyanine dyes Guest effect on nanopatterned spin crossover Small, Vol.7, N°23, pp.3385-3391, Décembre 2011 thin films High quality nano-patterned thin films of the New Journal of Chemistry, Vol.35, N°10, pp.2089coordination compound {Fe(pyrazine)[Pt(CN)4]} 2094, Décembre 2011 deposited layer-by-layer Design, realization and optimization of a photovoltaic system equiped with analog MPPT command and detection circuit of the dysfunction and convergence the system (CDCS) R.MAHAMDI, M.BOULESBAA, Ellipsometric and RBS studies of SiOxNy films L.SACI, F.MANSOUR, C.MOLLIET, elaborated by PECVD M.COLLET, P.TEMPLE BOYER International Journal of the Physical Sciences, Vol.6, N°35, pp.7865-7888, Décembre 2011 H.BOURIDAH, F.BOUAZIZ, F.MANSOUR, R.MAHAMDI, P.TEMPLE BOYER F.SEICHEPINE, E.FLAHAUT, C.VIEU Materials Science in Semiconductor Processing, Vol.14, N°3-4, pp.261-265, Septembre 2011 A.BEDUER, L.VAYSSE, E.FLAHAUT, F.SEICHEPINE, I.LOUBINOUX, C.VIEU A.EGEA, C.VIEU RVSI11885 A.CERF, X.DOLLAT, J.CHALMEAU, A.COUTABLE, C.VIEU RVSI11905 B.GHADDAB, F.BERGER, J.B.SANCHEZ, P.MENINI, C.MAVON, N.YOBOUE, V.POTIN Study of grains size distribution and electrical activity of heavily boron doped polysilicon thin films A simple and versatile method for statistical analysis of the electrical properties of individual double walled carbon nanotubes Multi-scale engineering for neuronal cell growth and differentiation Journal of Nanoscience and Nanotechnology, Vol.11, N°10, pp.9118-9122, Octobre 2011 Microelectronic Engineering, Vol.88, N°7, pp.16371639, Juillet 2011 Microelectronic Engineering, Vol.88, N°8, pp.16681671, Août 2011 Microcontact printing of biomolecular gratings Microelectronic Engineering, Vol.88, N°8, pp.1935from SU-8 masters duplicated by Thermal Soft 1938, Août 2011 UV NIL A versatile method for generating single DNA Journal of Materials Research, Vol.26, N°2, pp.336molecule patterns: Through the combination of 346, Janvier 2011 directed capillary assembly and (micro/nano) contact printing Benzene monitoring by micro-machined sensors Sensors and Actuators B: Chemical, Vol.152, N°1, with SnO2 layer obtained by using micro-droplet pp.68-72, Février 2011 deposition technique Scientific Production — 187 RVSI12020 RVSI12066 RVSI12074 RVSI12088 RVSI12089 RVSI12090 RVSI12091 RVSI12133 RVSI12159 RVSI12187 RVSI12240 RVSI12243 RVSI12273 RVSI12312 RVSI12313 RVSI12320 RVSI12387 RVSI12389 X.L.HAN, G.LARRIEU, E.DUBOIS, F.CRISTIANO A.AKOU, I.A.GURAL'SKIY, L.SALMON, C.BARTUAL, C.THIBAULT, C.VIEU, G.MOLNAR, A.BOUSSEKSOU G.GENET, C.GUILBEAUFRUGIER, B.HONTON, E.DAGUE, O.CAZORLA, C.COATRIEUX, D.CALISE, C.CARDIN, C.NIETO, B.PAYRE, A.OUILLE, A.DUBRAC, D.ARVANITIS, F.DESPAS, M.F.ALTIE, M.B.DELISLE, A.DAVY, A.LACAMPAGNE, J.M.SENARD, A.PATHAK, C.GALES Carrier injection at silicide/silicon interfaces in nanowire based-nanocontacts Soft lithographic patterning of spin crossover complexes. Part 2: stimuli-responsive diffraction grating properties Surface Science, Vol.606, N°9-10, pp.836-839, Mai 2012 Journal of Materials Chemistry, Vol.22, N°9, pp.37523757, Mars 2012 Ephrin-B1 is a novel specific component of the Circulation Research, Vol.110, N°5, pp.688-700, lateral membrane of the cardiomyocyte and is Mars 2012 essential for the stability of cardiac tissue architecture cohesion F.SEICHEPINE, S.SALOMON, A combination of capillary and dielectrophoresisM.COLLET, S.GUILLON, L.NICU, driven assembly methods for wafer scale G.LARRIEU, E.FLAHAUT, C.VIEU integration of carbon nanotubes-based nanocarpets S.GUILLON, S.SALOMON, Biological functionalization of massively parallel F.SEICHEPINE, D.DEZEST, arrays of nanocantilevers using microcontact F.MATHIEU, A.BOUCHIER, printing L.MAZENQ, C.THIBAULT, C.VIEU, T.LEICHLE, L.NICU T.LEICHLE, Y.L.LIN, P.C.CHIANG, Biosensor-compatible encapsulation for preS.M.HU, K.T.LIAO, C.F.CHOU functionalized nanofluidic channels using asymmetric plasma treatment A.BEDUER, C.VIEU, Engineering of adult human neural stem cells F.ARNAUDUC, J.C.SOL, differentiation through surface micropatterning I.LOUBINOUX, L.VAYSSE B.REIG, T.CAMPS, V.BARDINAL, Fabrication of polymer-based optical D.BOURRIER, E.DARAN, microsystems arrays suited for the active J.B.DOUCET, J.LAUNAY, focusing of vertical laser diodes J.Y.FOURNIOLS A.MARTINEZ RIVAS, Wafer scale interdigitated nanoelectrode F.CARCENAC, D.SAYA, devices functionalized using a MEMS-based C.SEVERAC, L.NICU, C.VIEU deposition system C.KRZEMINSKI, X.L.HAN, Understanding of the retarded oxidation effects G.LARRIEU in silicon nanostructures F.LARRAMENDY, F.MATHIEU, Parallel detection in liquid phase of N-channel S.CHARLOT, L.NICU, P.TEMPLE MOSFET/ChemFET microdevices using BOYER saturation mode A.DIALLO, L.DJEGHLAF, Development of pH-chemFET-based biosensors L.MAZENQ, J.LAUNAY, W.SANT, for lactate ion detection P.TEMPLE BOYER F.LARRAMENDY, L.MAZENQ, Three-dimensional closed microfluidic channel P.TEMPLE BOYER, L.NICU fabrication by stepper projection single step lithography: the diabolo effect L.VAYSSE, C.LABIE, B.CANOLLE, Adult human progenitor cells from the temporal S.JOZAN, A.BEDUER, lobe: another source of neuronal cells F.ARNAUDUC, C.VIEU, J.C.SOL, I.LOUBINOUX D.T.L.LE, S.ZANNA, I.FRATEUR, Real-time investigation of the muco-adhesive P.MARCUS, P.LOUBIERE, properties of Lactococcus lactis using a quartz E.DAGUE, M.MERCIER-BONIN crystal microbalance with dissipation monitoring Nanotechnology, Vol.23, N°9, 095303p., Mars 2012 Sensors and Actuators B: Chemical, Vol.161, N°1, pp.1135-1138, Janvier 2012 Sensors and Actuators B: Chemical, Vol.161, N°1, pp.805-810, Janvier 2012 Biomaterials, Vol.33, N°2, pp.504-514, Janvier 2012 Journal of Micromechanics and Microengineering, Vol.22, N°6, 065006p., Juin 2012 Nanotechnology, Vol.23, N°10, 105302p., Mars 2012 Applied Physics Letters, Vol.100, N°26, 263111p., Juin 2012 Sensors and Actuators B: Chemical, Vol.176, pp.379385, Janvier 2013 Biosensors and Bioelectronics, Vol.40, N°1, pp.291296, Février 2013, DOI: 10.1016/j.bios.2012.07.063 Lab on a Chip, Vol.12, N°2, pp.387-390, Février 2012 Brain Injury, Vol.26, Décembre 2012 N°13-14, pp.1636-1645, Biofouling, Vol.28, N°5, pp.479-490, Mai 2012 E.JAUVERT, E.DAGUE, M.SEVERAC, L.RESSIER, A.M.CAMINADE, J.P.MAJORAL, E.TREVISIOL B.BEN MOUSSA, J.D'HAEN, C.BORSCHEL, J.BARJON, A.SOLTANI, V.MORTET, C.RONNING, M.D'OLIESLAEGER, H.G.BOYEN, K.HAENEN Probing single molecule interactions by AFM Sensors and Actuators B: Chemical, Vol.168, pp.436using bio-functionalized dentritips 441, Juin 2012 A.TALBI, A.SOLTANI, V.MORTET, J.C.GERBEDOEN, J.C.DE JAEGER, P.PERNOD Theoretical study of Lamb acoustic waves Diamond and Related Materials, Vol.22, pp.66-69, characteristics in a AlN/diamond composite Février 2012 membranes for Super High Frequency range operating devices Hexagonal boron nitride nanowalls: physical Journal of Physics D: Applied Physics, Vol.45, N°13, vapour deposition, 2D/3D morphology and 135302p., Avril 2012 spectroscopic analysis Scientific Production — 188 RVSI12398 RVSI12415 RVSI12439 RVSI12448 RVSI12449 RVSI12465 RVSI12480 RVSI12519 RVSI12525 RVSI12533 RVSI12534 D.BARAT, V.BARDINAL, I.DIKA, O.SOPPERA, P.DEBERNARDI, A.RUMYANTSEVA, B.REIG, M.RENAULT, T.CAMPS, A.BRUYANT, J.B.DOUCET, JP.MALVAL, E.DARAN V.MORTET, E.BEDEL-PEREIRA, J.F.BOBO, F.CRISTIANO, C.STRENGER, V.UHNEVIONAK, A.BURENKOV, A.JBAUER C.CHRISTOPHE, F.SEKLI, J.LAUNAY, P.GROS, E.QUESTEL, P.TEMPLE BOYER C.NYAMHERE, F.CRISTIANO, F.OLIVIE, E.BEDEL-PEREIRA, J.BOUCHER, Z.ESSA, D.BOLZE, Y.YAMAMOTO Z.ESSA, F.CRISTIANO, Y.SPIEGEL, P.BOULENC, Y.QIU, M.QUILLEC, N.TALEB, A.BURENKOV, M.HACKENBERG, E.BEDEL-PEREIRA, V.MORTET, F.TORREGROSA, C.TAVERNIER Photo-chemical study and optical properties of Optics Express, Vol.20, N°20, pp.22922-22933, microtips self-written on vertical laser diodes Septembre 2012 using NIR photo-polymerisation C.M.QUINTERO PINZON, I.A.GURAL'SKIY, G.MOLNAR, L.SALMON, C.BERGAUD, A.BOUSSEKSOU E.VANHOVE, A.TTSOPELA, L.BOUSCAYROL, A.DESMOULIN, J.LAUNAY, P.TEMPLE BOYER Journal of Materials Chemistry, Vol.22, N°9, pp.3745Soft lithographic patterning of spin crossover 3751, Septembre 2012 complexes. Part 1: fluorescent detection of the spin transition in single nano-objects Final capping passivation layers for long-life Sensors and Actuators B: Chemical, Vol.178, pp.350microsensors in real fluids 358, Mars 2013 L.LVOVA, S.DENIS, A.BARRA, P.MIELLE, C.SALLES, C.VERGOIGNAN, C.DI NATALE, R.PAOLESSE, P.TEMPLE BOYER, G.FERON A.CAZALE, W.SANT, J.LAUNAY, F.GINOT, P.TEMPLE BOYER Salt release monitoring with specific sensors in Talanta, Vol.97, pp.171-180, Août 2012 "in vitro" oral and digestive environments from soft cheeses T.CHEN, D.DUBUC, M.POUPOT, J.J.FOURNIE, K.GRENIER RVSI12569 Y.GUERFI, F.CARCENAC, G.LARRIEU S.ABALDI, F.MANSOUR, E.BEDEL-PEREIRA RVSI12630 RVSI12631 RVSI12775 RVSI12787 Elaboration of integrated microelectrodes for the Sensors and Actuators B: Chemical, Vol.177, pp.350detection of antioxidant species 356, Février 2013 Electrical characterization of {311} defects and AIP Conference Proceedings, Vol.1496, pp.171-174, related junction leakage currents in n-type Si Novembre 2012 after ion implantation BF3 PIII modeling: implantation, amorphisation AIP Conference Proceedings, Vol.1496, pp.237-240, and diffusion Novembre 2012 Study of field effects transistors for the sodium ion detection using fluoropolysiloxane-based sensitive layers E.DESCAMPS, V.CASTAGNOLA, Nanostructured flexible implantable C.BAYON, MC.BLATCHE, microelectrodes for stimulation and recording S.CHARLOT, C.BERGAUD neural activity V.MORTET, L.ZHANG, M.ECKERT, Grain size tuning of nanocrystalline chemical J.D'HAEN, A.SOLTANI, vapor deposited diamond by continuous M.MOREAU, D.TROADEC, electrical bias growth: Experimental and E.NEYTS, J.C.DE JAEGER, theoretical study J.VERBEECK, A.BOGAERTS, G.VAN TENDELOO, K.HAENEN, P.WAGNER RVSI12566 RVSI12589 Hall effect characterization of 4H-SiC MOSFETs: Materials Science Forum, Vol.740-742, pp.525-528, influence of nitrogen gate implantation Janvier 2013 F.DUBOSC, D.BOURRIER, T.LEICHLE S.SALOMON, T.LEICHLE, D.DEZEST, F.SEICHEPINE, S.GUILLON, C.THIBAULT, C.VIEU, L.NICU A.BEDUER, F.SEICHEPINE, E.FLAHAUT, I.LOUBINOUX, L.VAYSSE, C.VIEU C.FORMOSA, M.GRARE, E.JAUVERT, A.COUTABLE, J.B.REGNOUF-DE-VAINS, M.MOURER, R.DUVAL, E.DAGUE Sensors and Actuators B: Chemical, Vol.177, pp.515521, Février 2013 Annals of Physical and Rehabilitation Medicine, Supplément 1, Vol.55, e346p., Octobre 2012 (Résumé) Physica Status Solidi (a), Vol.209, N°9, pp.16751682, Septembre 2012 Accurate nanoliter liquid characterization up to 40 GHz for biomedical applications: toward noninvasive living cells monitoring High resolution HSQ nanopillar arrays with low energy electron beam lithography Complex boron redistribution kinetics in strongly doped polycrystalline-silicon/nitrogen-dopedsilicon thin bi-layers Fabrication of lateral porous silicon membranes for planar microfluidic devices Arrays of nanoelectromechanical biosensors functionalized by microcontact printing IEEE Transactions on Microwave Theory and Techniques, Vol.60, N°12, pp.4171-4177, Décembre 2012 Microelectronic Engineering, Vol.110, pp.173-176, Octobre 2013 Crystal Research and Technology, Vol.47, N°10, pp.1047-1053, Octobre 2012 Elucidation of the role of carbon nanotube patterns on the development of cultured neural cells Nanoscale analysis of the effects of antibiotics and CX1 on a Pseudomonas aeruginosa multidrug-resistant strain Langmuir, Vol.28, N°50, pp.17363-17371, Décembre 2012 Scientific Production — 189 Procedia Engineering , Vol.47, pp.801-804, 2012, Seul le résumé est disponible Nanotechnology, Vol.23, N°49, 495501p., Décembre 2012 Scientific Reports, paper 575, Vol.2, 9p., Août 2012, doi: 10.1038/srep00575 RVSI12800 RVSI12801 RVSI12803 RVSI12804 RVSI12805 RVSI12811 RVSI12815 RVSI13017 RVSI13018 RVSI13021 C.STRENGER, V.UHNEVIONAK, A.BURENKOV, A.JBAUER, V.MORTET, E.BEDEL-PEREIRA, F.CRISTIANO, M.KRIEGER, H.RYSSEL V.UHNEVIONAK, C.STRENGER, A.BURENKOV, V.MORTET, E.BEDEL-PEREIRA, F.CRISTIANO, A.JBAUER, P.PICHLER W.LERCH, W.KEGEL, J.NIESS, A.GSCHWANDTNER, J.GELPEY, F.CRISTIANO S.BONINELLI, G.IMPELLIZZERI, A.ALBERTI, F.PRIOLO, F.CRISTIANO, C.SPINELLA B.J.PAWLAK, T.JANSSENS, S.SINGH, I.KUZMA-FILIPEK, J.ROBBELEIN, N.E.POSTHUMA, J.POORTMANS, F.CRISTIANO, E.M.BAZIZI P.PONS, H.AUBERT, P.MENINI, E.TENTZERIS Z.ESSA, C.GAUMER, A.PAKFAR, M.GROS-JEAN, M.JUHEL, F.PANCIERA, P.BOULENC, F.CRISTIANO F.PILLET, A.SANCHEZ, C.FORMOSA, M.SEVERAC, E.TREVISIOL, J.Y.BOUET, V.LEBERRE L.CHOPINET-MAYEUX, C.FORMOSA, M.P.ROLS, R.DUVAL, E.DAGUE L.CHOPINET-MAYEUX, C.RODUIT, M.P.ROLS, E.DAGUE RVSI13029 G.LARRIEU, X.L.HAN RVSI13032 F.MATHIEU, F.LARRAMENDY, D.DEZEST, C.HUANG, G.LAVALLEE, S.MILLER, C.M.EICHFELD, W.MANSFIELD, S.TROLIER-MCKINSTRY, L.NICU O.COJOCARU, F.CRISTIANO, P.F.FAZZINI, D.MANGELINCK, D.BLAVETTE S.HOUMADI, D.DEDOVET, S.SI, R.TAMOTO, R.ODA, M.H.DELVILLE, C.BERGAUD O.THOMAS, F.MATHIEU, W.MANSFIELD, C.HUANG, S.TROLIER-MCKINSTRY, L.NICU RVSI13066 RVSI13068 RVSI13097 RVSI13106 RVSI13153 RVSI13166 RVSI13220 RVSI13221 D.BARAT, V.BARDINAL, I.DIKA, O.SOPPERA, A.RUMYANTSEVA, B.REIG, M.RENAULT, A.BRUYANT, J.B.DOUCET, T.CAMPS, J-P.MALVAL, E.DARAN C.NYAMHERE, F.CRISTIANO, F.OLIVIE, Z.ESSA, E.BEDELPEREIRA, D.BOLZE, Y.YAMAMOTO E.DESCAMPS, N.BERTHETDUROURE, F.DEISS, T.LEICHLE, C.ADAM, P.MAILLEY, A.AITIKHLEF, T.LIVACHE, L.NICU, N.SOJIC A.AKOU, C.BARTUAL, K.ABDULKADER, M.LOPES, G.MOLNAR, C.THIBAULT, C.VIEU, L.SALMON, A.BOUSSEKSOU A.BEDUER, I.GONZALES-CALVO, C.VIEU, I.LOUBINOUX, L.VAYSSE Correlation of interface characteristics to Materials Science Forum, Vol.740-742, pp.537-540, electron mobility in channel-implanted 4H-SiC Janvier 2013 MOSFETs Verification of near-interface traps models by Materials Science Forum, Vol.740-742, pp.533-536, electrical measurements on 4H-SiC n-channel Janvier 2013 MOSFETs Scaling requires continuous innovation in thermal processing: low-temperature plasma oxidation bonding and 3D integration Role of the Ge surface during the end of range dissolution ECS Transactions, Vol.45, N°6, pp.151-161, Octobre 2012 Applied Physics Letters, Vol.101, N°16, 162103p., Octobre 2012 Studies of implanted boron emitters for solar cell Progress in Photovoltaics: Research and applications Applications, Vol.20, N°1, pp.106-110, Janvier 2012 Electromagnetic transduction for wireless passive sensors Evaluation and modeling of lanthanum diffusion in TiN/La2O3/HfSiON/SiO2/Si high-k stacks Procedia Engineering , Vol.47, pp.1474-1483, Novembre 2012 Applied Physics Letters, Vol.101, N°18, 182901p., Octobre 2012 Dendrimer functionalization of gold surface Biosensors and Bioelectronics, Vol.43, pp.148-154, improves the measurement of protein–DNA Mai 2013 interactions by surface plasmon resonance imaging Imaging living cells surface and quantifying its Micron, Vol.48, pp.26-33, Mai 2013 properties at high resolution using AFM in QItm mode Destabilization induced by Biophysica and Biochemica acta Biomembrane, electropermeabilization analyzed by atomic Vol.1828, N°9, pp.2223-2229, Septembre 2013 force microscopy Vertical nanowire array-based field effect Nanoscale, Vol.5, N°6, pp.2437-2441, Mars 2013 transistors for ultimate scaling Reducing parasitic effects of actuation and Microelectronic Engineering, Vol.111, pp.68-76, sensing schemes for piezoelectric Novembre 2013 microelectromechanical resonators Extended defects and precipitation in heavily B- Thin Solid Films, Vol.534, pp.62-66, Mai 2013, doped silicon http://dx.doi.org/10.1016/j.tsf.2013.01.090 Determination of the elastic properties of SiO2 nanotubes templated from organic amphiphilic self-assemblies through inorganic transcription Efficient parametric amplificattion in MEMS with integrated piezoelectric actuation and sensing capabilities Applied Physics Letters, Vol.102, N°15, 151904p., Avril 2013 Applied Physics Letters, Vol.102, N°16, 163504p., Avril 2013 Microlens self-writing on vertical laser diodes by Microelectronic Engineering, Vol.111, pp.204-209, near Infra-red photo-polymerization Novembre 2013 Electrical characterization and predictive Journal of Applied Physics, Vol.113, N°18, 184508p., simulation of defects induced by keV Si+ Mai 2013 implantation in n-type Si Functionalization of optical nanotip arrays with Lab on a Chip, Vol.13, N°15, pp.2956-2962, Août an electrochemical microcantilever for 2013 multiplexed DNA detection Photonic gratings of the metal-organic Dalton Transactions, Vol.42, N°45, pp.16021-16028, framework {Fe(bpac)[Pt(CN)4]} with synergetic Décembre 2013 spin transition and host-guest properties Investigation of the competition between Macromolecular Bioscience, Vol.13, N°11, pp.1546cell/surface and cell/cell interactions during 1555, Novembre 2013 neuronal cell culture on a micro-engineered surface Scientific Production — 190 RVSI13225 P.BON, N.BELAID, D.LAGRANGE, Three-dimensional temperature imaging around Applied Physics Letters, Vol.102, N°24, 244103p., C.BERGAUD, H.RIGNEAULT, a gold microwire Juin 2013 S.MONNERET, G.BAFFOU RVSI13258 J.M.FRANCOIS, C.FORMOSA, M.SCHIAVONE, F.PILLET, H.MARTIN-YKEN, E.DAGUE D.T.L.LE, T.L.TRAN, M.P.DUVIAU, M.MEYRAND, Y.GUERARDEL, M.CASTELAIN, P.LOUBIERE, M.P.CHAPOT-CHARTIER, E.DAGUE, M.MERCIER-BONIN C.FORMOSA, M.SCHIAVONE, H.MARTIN-YKEN, J.M.FRANCOIS, R.DUVAL, E.DAGUE X.L.HAN, G.LARRIEU, C.KRZEMINSKI RVSI13259 RVSI13260 RVSI13389 RVSI13495 RVSI13496 RVSI13497 RVSI13510 RVSI13525 RVSI13528 Use of atomic force microscopy (AFM) to Current Genetics, Vol.59, N°4, pp.187-196, explore cell wall properties and response to Novembre 2013 stress in the yeast saccharomyces cerevisiae Unvareling the role of surface mucus-binding Plos One, Vol.8, N°11, e79850p., Novembre 2013 protein and pili and muco-adhesion of lactococcus lactis Nanoscale effects of Caspofungin against two Antimicrobial Agents and Chemotherapy, Vol.57, yeast species; Saccharomyces cerevisiae and N°8, pp.3498-3506, Août 2013 Candida albicans Modelling and engineering of stress based controlled oxidation effects for silicon nanostructures patterning T.CHRETIENNOT, D.DUBUC, A microwave and microfluidic planar resonator K.GRENIER for efficient and accurate complex permittivity characterization of aqueous solutions K.GRENIER, D.DUBUC, T.CHEN, Recent advances in microwave-based dielectric F.ARTIS, T.CHRETIENNOT, spectroscopy at the cellular level for cancer M.POUPOT, J.J.FOURNIE investigations A.BELAFHAILI, L.LAANAB, Influence of the Germanium content on the F.CRISTIANO, N.CHERKASHIN, amorphization of silicon-germanium alloys A.CLAVERIE during ion implantation P.L.FABRE, L.LATAPIE, J.LAUNAY, Unexpected effect of copper ions on O.REYNES, P.TEMPLE BOYER electrochemical impedance behaviour of selfassembled alkylaminethiol monolayer A.BEAUVAIS, S.BOZZA, Deletion of the α-(1,3)-glucan synthase genes O.KNIEMEYER, C.FORMOSA, induces a restructuring of the conidial cell wall V.BALLOY, C.HENRY, responsible for the avirulence of Aspergillus R.W.ROBERSON, E.DAGUE, fumigatus M.CHIGNARD, A.A.BRAKHAGE, L.ROMANI, J.P.LATGE F.PILLET, L.CHOPINET-MAYEUX, Atomic force microscopy and pharmacology: C.FORMOSA, E.DAGUE from microbiology to cancerology Nanotechnology, Vol.24, N°49, 495301p., Novembre 2013 IEEE Transactions on Microwave Theory and Techniques, Vol.61, N°2, pp.972-978, Février 2013 IEEE Transactions on Microwave Theory and Techniques, Vol.61, N°5, pp.2023-2030, Mai 2013 Materials Science in Semiconductor Processing, Vol.16, N°6, pp.1655-1658, Décembre 2013 Materials Science & Engineering C, Vol.33, N°1, pp.64-66, Janvier 2013 Plos Pathogens, Novembre 2013 Vol.9, N°11, Biochimica et biophysica acta. G, General subjects, Vol.1840, N°3, pp.1028-1050, Mars 2014 RVSI13533 H.AUBERT, F.CHEBILA, M. Wireless sensing and identification based on Annals of Telecommunications, M.JATLAOUI, T.T.THAI, H.HALLIL, radar cross section variability measurement of pp.425-435, Août 2013 A.TRAILLE, S.BOUAZIZ, A.RIFAI, passive electromagnetic sensors P.PONS, P.MENINI, E.TENTZERIS RVSI13571 D.DUBUC, O.MAZOUFFRE, C.LLORENS, T.TARIS, M.POUPOT, J.J.FOURNIE, J.B.BEGUERET, K.GRENIER H.HAOUES, H.BOURIDAH, M.R.BEGHOUL, F.MANSOUR, R.REMMOUCHE, P.TEMPLE BOYER A.DESMAISON, C.FRONGIA, K.GRENIER, B.DUCOMMUN, V.LOBJOIS N.CLEMENT, X.L.HAN, G.LARRIEU E.M.HERNANDEZ, C.M.QUINTERO PINZON, O.KRAIVA, C.THIBAULT, C.BERGAUD, L.SALMON, G.MOLNAR, A.BOUSSEKSOU C.BARTUAL, A.CERF, C.THIBAULT, C.VIEU, L.SALMON, G.MOLNAR, A.BOUSSEKSOU RVSI13572 RVSI13573 RVSI13599 RVSI13614 RVSI13629 RVSI13630 RVSI13631 K.ABDUL-KADER, M.LOPES, C.BARTUAL, O.KRAIVA, E.M.HERNANDEZ, L.SALMON, W.NICOLAZZI, F.CARCENAC, C.THIBAULT, G.MOLNAR, A.BOUSSEKSOU A.EGEA, L.MAZENQ, E.TREVISIOL, V.PAVEAU, C.VIEU Microwave-based biosensor biological cell analysis for e1003716p., Vol.68, N°7-8, on-chip Analog Integrated Circuits and Signal Processing, Vol.77, N°2, pp.135-142, Novembre 2013 Formation of silicon nanocrystals by thermal Materials Science in Semiconductor Processing, annealing of low-pressure chemical-vapor Vol.16, N°6, pp.1849-1852, Décembre 2013 deposited amorphous SiNx (x=0.16) thin films Mechanical stress impairs mitosis progression in Plos One, Vol.8, N°12, e80447p., Décembre 2013 multi-cellular tumor spheroids Electronic transport mechanisms in scaled gateall-around silicon nanowire transistor arrays AFM imaging of molecular spin-state changes through quantitative thermo-mechanical measurements SERS-active substrates for ultrathin spin-crossover films Applied Physics Letters, Vol.103, N°26, 263504p., Décembre 2013 Advanced Materials, Vol.26, N°18, pp.2889-2893, Mai 2014 investigating Microelectronic Engineering, Vol.111, pp.365-368, Novembre 2013 Nanoscale, Vol.5, N°12, pp.5288-5293, Juin 2013 Synergistic switching of plasmonic resonances and molecular spin states Optical label free biodetection based on the Microelectronic Engineering, Vol.111, pp.425-427, diffraction of light by nanoscale protein gratings Novembre 2013 Scientific Production — 191 RVSI13632 RVSI13633 RVSI13635 RVSI13636 RVSI13637 RVSI13638 RVSI13740 RVSI13775 RVSI13819 RVSI14003 RVSI14041 RVSI14046 RVSI14065 RVSI14114 RVSI14306 RVSI14307 RVSI14417 A.EGEA, E.TREVISIOL, C.VIEU Direct patterning of probe proteins on an antifouling PLL-g-dextran coating for reducing the background signal of fluorescent immunoassays A.EGEA, M.METIVIER, Real-time monitoring of dextransucrase-based P.CROGUENNOC, M.REMAUDenzymatic reaction through surface-enhanced SIMEON, C.VIEU ellipsometric contrast (SEEC) microscopy in liquid environment J.FONCY, J.C.CAU, C.BARTUAL, Comparison of polyurethane and epoxy resist J.M.FRANCOIS, E.TREVISIOL, master mold for nanoscale soft lithography C.SEVERAC J.FREDONNET, J.FONCY, Dynamic PDMS inking for DNA patterning by S.LAMARRE, J.C.CAU, soft lithography J.P.PEYRADE, E.TREVISIOL, J.M.FRANCOIS, C.SEVERAC A.MARTINEZ RIVAS, L.MAZENQ, Tube interconnection in polydimethylsiloxane X.DOLLAT, L.JALABERT, based fluidic microchannels C.SEVERAC, C.VIEU A.COUTABLE, V.NOIREAUX, B.LEPIOUFLE, O.FRANCAIS, C.VIEU, J.M.FRANCOIS, C.THIBAULT, E.TREVISIOL K.ABDUL-KADER, M.LOPES, C.BARTUAL, O.KRAIEVA, E.M.HERNANDEZ, L.SALMON, W.NICOLAZZI, F.CARCENAC, C.THIBAULT, G.MOLNAR, A.BOUSSEKSOU F.X.DARRAS, N.CHERKASHIN, F.CRISTIANO, E.SCHEID, O.KONONCHUK, L.CAPELLO, A.CLAVERIE T.CONTARET, J.L.SEGUIN, P.MENINI, K.AGUIR H.Y.LEE, Y.C.LIN, Y.T.SU, C.H.CHAO, V.BARDINAL H.COLDER, B.DOMENGES, C.JOREL, P.MARIE, M.BOISSERIE, S.GUILLON, L.NICU, A.GALDI, L.MECHIN F.PILLET, S.LEMONIER, M.SCHIAVONE, C.FORMOSA, H.MARTIN-YKEN, J.M.FRANCOIS, E.DAGUE M.PALOSSE, I.SEGUY, E.BEDELPEREIRA, C.VILLENEUVEFAURE, C.MALLET, P.FRERE, B.WAROT-FONROSE, N.BIZIERE, J.F.BOBO D.HERNANDEZ MALDONADO, B.RAMOS, C.VILLENEUVEFAURE, E.BEDEL-PEREIRA, I.SEGUY, A.SOURNIA-SAQUET, F.ALARY, J.L.HEULLY, K.MOINEAU CHANE CHING G.FISICARO, L.PELAZ, M.ABOY, P.LOPEZ, M.ITALIA, K.HUET, F.CRISTIANO, Z.ESSA, Y.QIU, E.BEDEL-PEREIRA, M.QUILLEC, A.LA MAGNA Y.QIU, F.CRISTIANO, K.HUET, F.MAZZAMUTO, G.FISICARO, A.LA MAGNA, M.QUILLEC, N.CHERKASHIN, H.WANG, S.DUGUAY, D.BLAVETTE N.YOBOUE, J.KONATE, O.ASSEU, P.TETY, P.MENINI Biointerphases, Article ID 37, Vol.8, Décembre 2013 BioNanoScience, Vol.4, N°1, pp.37-45, Mars 2014 Microelectronic Engineering, Vol.110, pp.183-187, Octobre 2013 Microelectronic Engineering, Vol.111, pp.379-383, Novembre 2013 Microelectronic Engineering, Vol.110, pp.461-464, Octobre 2013 Insertion of functional proteins into bilayer lipid Biophysical Journal, Supplément 1, Vol.104, N°2, membrane using a cell-free expression system 548Ap., Janvier 2013 Synergistic switching of plasmonic resonances Nanoscale, Vol.5, pp.5288-5293, Mars 2013 and molecular spin states Quantification of the number of Si interstitials Nuclear Instruments and Methods in Physics formed by hydrogen implantation in silicon using Research. Section B, Beam Interactions with boron marker layers Materials and Atoms, Vol.327, pp.29-32, Mai 2014 Physical-based characterization of noise responses in metal-oxide gas sensors Performance improvement of GaN-based flipchip white light-emitting diodes with diffused nanorod reflector and with ZnO nanorod antireflection layer Structural characterisation of BaTiO3 thin films deposited on SrRuO3/YSZ buffered silicon substrates and silicon microcantilevers IEEE Sensors Journal, Vol.13, N°3, pp.980-986, Mars 2013 Journal of Nanomaterials, Article ID 987479, Vol.2014, Février 2014, http://dx.doi.org/10.1155/2014/987479 Journal of Applied Physics, Vol.115, N°5, 053506p., Février 2014 Uncovering by Atomic Force Microscopy of an BMC Biology, Vol.12, N°6, 20p., Janvier 2014 original circular structure at the yeast cell surface in response to heat shock Spin transport in benzofurane based organic spin valves bithiophene AIP Advances, Vol.4, N°1, 017117p., Janvier 2014 Applied Physics Letters, Vol.104, N°10, 103302p., Chain ordering of regioregular polythiophene Mars 2014 films through blending with a nickel bisdithiolene complex Kinetic Monte Carlo simulations of boron Applied Physics Express, Vol.7, N°2, 021301p., activation in implanted Si under laser thermal Février 2014 annealing Extended defects formation in nanosecond laser-Nano Letters, Vol.14, N°4, pp.1769-1775, Avril 2014 annealed ion implanted silicon An efficient nanoparticles- SnO2 gas sensor for International Journal of Materials Engineering and industrial applications Technology, Vol.11, N°1, pp.41-56, Janvier 2014 Scientific Production — 192 [RVSN] Ref Scientific Journals -‐national-‐ Authors Title Published in: RVSN09398 V.BARDINAL, C.VERGNENEGRE, Microplumes robotisées pour la fabrication de Techniques de l'Ingénieur, N°RE 138, pp.RE 138-1E.DARAN, J.B.POURCIEL, microlentilles en polymère RE 138-9, Février 2010 J.B.DOUCET, T.CAMPS RVSN10707 N.PANISSAL, E.BROSSAIS, C.VIEU RVSN10859 K.GRENIER, D.DUBUC Les nanotechnologies au lycée, une ingénierie d'éducation citoyenne des sciences: compterendu d'innovation Apport des ondes hyperfréquences -HF- pour des analyses biologiques non invasives et sans contact Scientific Production — 193 Recherches en Didactique des Sciences et des Technologies, N°1, 14p., Novembre 2010 Bulletin du Cancer, Vol.97, N°Suppl n° 4, pp.76-76, Octobre 2010 (Résumé) Scientific Production — 194 GE theme : Energy Management Papers in collaboration with other themes Theme nb papers ref MNBT CII10993, MAI09582, MAI09582, MAI09611, MAI09611, MAI09707, MAI09710, 30 MAI09710, MAI10611, MAI10803, MAI11054, MAI11057, MAI11749, MAN09706, MSI12306, MSN13157, MSN13181, OPI10440, RVSI08614, RVSI08631, RVSI09582, RVSI09582, RVSI09611, RVSI09611, RVSI09710, RVSI09710, RVSI10555, RVSI10641, RVSI11330, RVSI11566 Rob MAI11452 1 NII CII10993, CIN09949, MAI09158, MAI09163, MAI09229, MAI09538, MAI09729, 35 MAI09773, MAI09906, MAI09941, MAI10113, MAI10115, MAI10146, MAI10163, MAI10448, MAI10622, MAI10651, MAI10802, MAI10807, MAI11152, MAN08698, MAN09730, MAN10157, MSI09293, MSI09301, MSN13181, OPI10440, RVSI09320, RVSI09697, RVSI10534, RVSI11330, RVSI11646, RVSI12153, RVSI12812, RVSN10024 RC MAI10651, OPN10825 2 IC MAI10651, OPN10825 2 HOPES MAI09244, MAI09581, MAI09582, MAI09582, MAI09584, MAI09672, 17 MAI09773, MAI10610, MAI10611, MAI12613, MAN09246, MAN13620, OPN10825, RVSI09582, RVSI09582, RVSI12049, RVSI12246 DO MAN12437, RVSI09554, RVSI11601, RVSI12460, RVSI12660, RVSN09153 6 Paper summary for GE theme Poster -‐international-‐ AFFI Invited paper (conference) -‐international-‐ CII Invited paper (conference) -‐national-‐ CIN Conferences with published proceedings -‐international-‐ MAI Conferences with published proceedings -‐national-‐ MAN Conferences without proceedings -‐international-‐ MSI Conferences without proceedings -‐national-‐ MSN Books (author) -‐international-‐ OAI Books (author) -‐national-‐ OAN Books (contribution) -‐international-‐ OPI Books (contribution) -‐national-‐ OPN Scientific Journals -‐international-‐ RVSI Scientific Journals -‐national-‐ RVSN Invited paper (journals) -‐international-‐ RVSII RVV Popular journals GE Theme publications [AFFI] Poster -‐international-‐ Ref Authors 1 12 6 188 27 18 17 1 2 2 2 104 4 2 1 Title Published in: AFFI14201 P.DURAND ESTEBE, V.BOITIER, M.BAFLEUR, J.M.DILHAC, S.BERHOUET Photovoltaic power supply wireless sensor network [CII] Ref Invited paper (conference) -‐international-‐ Authors Title CII10306 J.ACHARD, F.SILVA, R.ISSAOUI, O.BRINZA, A.TALLAIRE, H.SCHNEIDER, K.ISOIRD, H.DING, S.KONE, M.A.PINAULT, F.JOMARD, A.GICQUEL for an airliner IDTechEx Energy Harvesting and Storage 2014 du 01 avril au 02 avril 2014, Berlin (Allemagne), Prix du meilleur Poster, Mai 2014, 2p. Published in: Thick boron doped diamond single crystals for International Conference on New Diamond and high power electronics Nano Carbons (NDNC 2010), Suzhou (Chine), 16-20 Mai 2010, 16p. Scientific Production — 195 CII10475 CII10993 CII12442 J.L.SANCHEZ, A.BOURENNANE, A brief over view of silicon active and passive M.BREIL, P.AUSTIN, M.BRUNET, power devices technologies: potentialities of J.P.LAUR, F.MORANCHO evolving towards 3D heterogeneous functional integration C.ROSSI, H.DUROU, B.JAMMES, Micro and Nano Power Systems Overview of A.RAMOND, I.ZAHI, LAAS activities A.HEMERYCK, A.ESTEVE, M.DJAFARI ROUHANI, F.SEVERAC, M.PETRANTONI, J.M.DUCERE, L.ASSOUERE, M.BAFLEUR, M.BRUNET, J.M.DILHAC F.CAIGNET, N.MONNEREAU, Behavioral ESD protection modeling to perform N.NOLHIER, M.BAFLEUR system level ESD efficient design CII12611 J.M.DILHAC, M.BAFLEUR CII12844 D.PECH CII13020 M.BAFLEUR, J.M.DILHAC CII13156 J.M.DILHAC, V.BOITIER, S.BERTHOUET, M.BAFLEUR, P.DURAND ESTEBE R.MEUNIER, A.TORRES, E.MORVAN, M.CHARLES, P.GAUD, F.MORANCHO M.BAFLEUR, F.CAIGNET, N.NOLHIER, D.TREMOUILLES CII13164 CII13439 Southeast Asian International Advances in Micro/nanotechnology Workshop 2010 du 08 mars au 11 mars 2010, Bangkok (Thailande), Mars 2010 Asia-Pacific Symposium on Electromagnetic Compatibility (APEMC 2012), Singapour (Singapour), 21-24 Mai 2012, pp.401-404 Energy thermo generation in aeronautics for Conference on Thermoelectrics 2012 du 21 battery-free wireless sensor networks novembre au 23 novembre 2012, Berlin (Allemagne), Novembre 2012, pp.135-143 Micro-supercondensateurs pour le stockage de Entretiens Jacques Cartier 2012 du 15 novembre l'énergie embarquée au 21 novembre 2012, Lyon (France), Novembre 2012, 1p. (Résumé) Energy and smart systems Workshop on Modern Circuits and Systems Technologies 2013 du 01 février au 01 février 2013, Salonique (Grèce), Février 2013, 21p. Supercapacitors for battery-free wireless sensor Supercapacitors Europe 2013 du 17 avril au 18 avril networks deployed in airliners 2013, Berlin (Allemagne), Avril 2013, 25p. AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasmaenhanced ALD Tackling the challenges of system level ESD: from efficient ICs ESD protection to system level predictive modeling Miniaturization and Integration of Supercapacitors: Technological Issues and Applications Design of on-chip sensors to monitor electromagnetic activity in ICs: Towards on-line diagnosis and self-healing CII13615 D.PECH CII14242 S.BEN DHIA, A.BOYER [CIN] Ref Invited paper (conference) -‐national-‐ Authors Title CIN09949 CIN10849 D.PECH, H.DUROU, P.HUANG, M.BRUNET, P.L.TABERNA, P.SIMON, N.FABRE, F.MESNILGRENTE, V.CONEDERA M.BAFLEUR CIN11628 D.PECH, M.BRUNET CIN11775 M.BAFLEUR CIN12561 D.PECH CIN13038 M.BAFLEUR [MAI] Ref Conferences with published proceedings -‐international-‐ Authors Title MAI08628 V.CONEDERA, F.MESNILGRENTE, M.BRUNET, N.FABRE A.SIMON, J.MARTINEZ GARCIA TENORIO, C.ALONSO MAI09010 International Seminar on Power Semiconductors (ISPS'10), Prague (République Tchèque), 1-3 Septembre 2010, pp.27-36 Elaboration et intégration supercondensateurs pour le l'énergie embarquée Conference of Insulating Films on Semiconductors ( INFOS ) 2013 du 25 juin au 28 juin 2013, Cracovie (Pologne), Juin 2013, 4p. Taiwan ESD and Reliability Conference ( TESDC ) 2013 du 04 novembre au 06 novembre 2013, Hsinchu (Taiwan), Novembre 2013, 8p. Seminar CIC Energigune 2013 du 13 juin au 13 juin 2013, Vitoria (Espagne), Juin 2013, 1p. (Résumé) IEEE Latin-American Test Workshop ( LATW ) 2014 du 12 mars au 15 mars 2014, Fortaleza (Brésil), Mars 2014, 6p. Published in: de micro- Journées Nationales du GDR Micro et Nano stockage de Systèmes (GDR MNS), Besançon (France), 18-20 Novembre 2009, 12p. Tutorial EOS/ESD ANADEF (12ème atelier), Port d'Albret (France), 1-4 Juin 2010, 138p. Energy storage on chip: realization and Journées thématiques sur les enjeux et characterizations of micro-supercapacitors problématiques de l'énergie dans les microsystèmes autonomes, Bidart (France), 28-29 Novembre 2011, 13p. More than Moore: nouveaux défis et Journée thématique Fiabilité des Composants et technologies pour une conversion plus efficace Systèmes, Rouen (France), 20 Octobre 2011, 24p. et plus sure de l'énergie électrique Micro-supercondensateurs à forte densité Journées Nationales Nanosciences et d'énergie et de puissance: l'apport des Nanotechnologies ( J3N ) 2012 du 07 novembre au nanotechnologies 09 novembre 2012, Bordeaux (France), Novembre 2012, 1p. Nanotechnologies et systèmes de gestion de Journée Envol Recherche 2013 du 14 février au 14 l'énergie février 2013, Paris (France), Février 2013, 8p. Published in: Fabrication of activated carbon electrodes by The Fourth International Conference on Systems inkjet deposition (ICONS 2009), Cancun (Mexique), 1-6 Février 2009, 5p. Input current characterization for interleaved 35th Annual Conference of the IEEE Industrial multiphase voltage regulator modules Electronics Society (IECON 2009), Porto (Portugal), Novembre 2009, pp.571-576 Scientific Production — 196 MAI09011 MAI09075 MAI09076 MAI09077 MAI09079 MAI09080 MAI09158 MAI09163 MAI09167 MAI09229 MAI09244 MAI09247 MAI09348 MAI09350 MAI09354 MAI09361 J.BOURGEAT, C.ENTRINGER, P.GALY, P.FONTENEAU, M.BAFLEUR S.THIJS, D.TREMOUILLES, A.GRIFFONI, C.RUSS, D.LINTEN, M.SCHOLZ, G.GROESENEKEN Local ESD protection structure based on silicon 31st Electrical Overstress/Electrostatic Discharge controlled rectifier achieving very low overshoot Symposium, EOS/ESD 2009, Anaheim (Etats-Unis), voltage 30 Août-4 septembre 2009, pp.314-321 Electrical and thermal scaling trends for FinFET 31st Annual Electrical Overstress/Electrostratic ESD design Discharge Symposium (EOS/ESD), Anaheim (USA), 30 Août-4 septembre 2009 A.GRIFFONI, S.THIJS, C.RUSS, D.TREMOUILLES, D.LINTEN, M.SCHOLZ, N.COLLAERT, L.WITTERS, G.MENEGHESSO, G.GROESENEKEN A.DELMAS, D.TREMOUILLES, N.NOLHIER, M.BAFLEUR, N.MAURAN, A.GENDRON F.CAPY, J.P.LAUR, M.BREIL, F.RICHARDEAU, M.BRUNET, E.IMBERNON, A.BOURENNANE, C.CARAMEL, P.AUSTIN, J.L.SANCHEZ F.CAPY, M.BREIL, F.RICHARDEAU, J.P.LAUR, A.BOURENNANE, J.L.SANCHEZ Next generation FinFET devices in bulk silicon 31st Annual Electrical Overstress/Electrostratic technology and thier benefits for ESD Discharge Symposium (EOS/ESD), Anaheim (USA), robustness 30 Août-4 septembre 2009 Accurate transcient behaviour measurement of high-voltage ESD protections based on a very fast transmission-line pulse system New self-controlled and self-protected IGBT based integrated switch 31st Annual Electrical Overstress/Electrostratic Discharge Symposium (EOS/ESD), Anaheim (USA), 30 Août - 4 septembre 2009 21st International Symposium on Power Semiconductor Devices and ICs (ISPSD'09), Barcelone (Espagne), 14-17 Juin 2009, pp.243-246 Exploring potentials and performance of two circuit architectures to develop a new integrated switch dedicated to self-switching power converters (U) T.CAMPS, B.MARTY, J.TASSELLI, Thermal management integration for A.MARTY, D.LAGRANGE microfluidics applications European Conference on Power Electronics and Applications (EPE 2009), Barcelone (Espagne), 8-10 Septembre 2009, 8p. Symposium on Design, Test, Integration & Packaging of MEMS/MOEMS (DTIP'2009), Rome (Italie), 1-3 Avril 2009, 6p. B.MARTY, T.CAMPS, J.TASSELLI, New polysilicon sensor and actuator technology The 15th International Conference on Solid-State A.MARTY, D.LAGRANGE for the dévelopment of a thermal plateform Sensors, Actuators and Microsystems (Transducers 2009), Denver (USA), 21-25 Juin 2009, 4p. E.MARCAULT, M.BREIL, P.TOUNSI, J.M.DORKEL, A.BOURENNANE, J.B.SAUVEPLANE C.VANHECKE, L.ASSOUERE, M.BAFLEUR, J.M.DILHAC, C.ROSSI J.RUAN, G.J.PAPAIOANNOU, N.NOLHIER, M.BAFLEUR, F.COCCETTI, R.PLANA A.SIMON, C.E.CARREJO GONZALEZ, E.VIDAL-IDIARTE, B.ESTIBALS, C.ALONSO J.MARTINEZ GARCIA TENORIO, A.SIMON, B.ESTIBALS, C.ALONSO A.BERASATEGI AROSTEGI, C.CABAL, C.ALONSO, B.ESTIBALS J.F.REYNAUD, O.GANTET, P.ALOISI, B.ESTIBALS, C.ALONSO H.FERAL, J.P.FRADIN, P.TOUNSI, J.M.DORKEL, J.B.SAUVEPLANE MAI09372 G.TOULON, I.CORTES, F.MORANCHO MAI09373 L.THEOLIER, H.MAHFOZ-KOTB, K.ISOIRD, F.MORANCHO MAI09374 S.HNIKI, G.BERTRAND, F.MORANCHO, S.ORTOLLAND, M.MINONDO, B.RAUBER, C.RAYNAUD, A.GIRY, O.BON, H.JAOUEN G.TOULON, I.CORTES, F.MORANCHO, B.VILLARD MAI09375 MAI09433 Potentialities of silicon piezoresistivity for MIXDES 2009, Lodz (Pologne), 25-27 Juin 2009, 5p. mechanical state monitoring of VDMOS transistors Convertisseur à faible consommation pour la récupération d'énergie ambiante combinant deux sources pour application aéronautique ESD stress in RF-MEMS capacitive switches: the influence of dielectric material deposition method Analysis and design of digital predictive currentmode control techniques for high-frequency VRMs Input current characterization for interleaved multiphase voltage regulator modules European efficiency improvement in photovoltaic applications by means of parallel connection of power converters New adaptive supervision unit to manage photovoltaic batteries Electro-thermal co-simulation with REBECA-3D 8ème journées d'étude Faible Tension Faible Consommation (FTFC 2009), Neuchatel (Suisse), 35 Juin 2009, 6p. International Reliability Physics Symposium (IRPS 2009), Montréal (Canada), 26-30 Avril 2009, 5p. 35th Annual Conference of the IEEE Industrial Electronics Society (IECON 2009), Porto (Portugal), 3-5 Novembre 2009, pp.224-229 35th Annual Conference of the IEEE Industrial Electronics Society (IECON 2009), Porto (Portugal), 3-5 Novembre 2009, pp.571-576 European Conference on Power Electronics and Applications (EPE 2009), Barcelone (Espagne), 8-10 Septembre 2009, 10p. 35th Annual Conference of the IEEE Industrial Electronics Society (IECON 2009), Porto (Portugal), 3-5 Novembre 2009, pp.661-666 3rd International Conference on Automotive Power Electronics, Paris (France), 25-26 Mars 2009, 3p. Analysis and Optimization of LUDMOS 16th International Conference Mixed Design of Transistors on a 0.18um SOI CMOS Technology Integrated Circuits and Systems (MIXDES 2009), Lodz (Pologne), 25-27 Juin 2009 A new junction termination technique: the Deep 21st International Symposium on Power Trench Termination (DT2) Semiconductor devices and ICs (ISPD'09), Barcelone (Espagne), 14-17 Juin 2009, pp.176-179 New self heating structures for thermal coupling 21st International Symposium on Power modeling on multi-fingered SOI power devices Semiconductor devices and ICs (ISPD'09), Barcelone (Espagne), 14-17 Juin 2009 LUDMOS transistors optiimization on a 0.18um European Conference on Power Electronics and SOI CMOS technology Applications (EPE 2009), Barcelone (Espagne), 8-10 Septembre 2009, 10p. S.KONE, H.DING, H.SCHNEIDER, High performance CVD diamond schottky European Conference on Power Electronics and K.ISOIRD, G.CIVRAC barrier diode. Simulation and carrying out Applications (EPE 2009), Barcelone (Espagne), 8-10 Septembre 2009, 8p. Scientific Production — 197 MAI09460 MAI09463 MAI09538 MAI09581 MAI09582 MAI09584 MAI09587 MAI09611 MAI09672 S.HNIKI, G.BERTRAND, S.ORTOLLAND, M.MINONDO, B.RAUBER, C.RAYNAUD, A.GIRY, O.BON, H.JAOUEN, F.MORANCHO M.DIATTA, E.BOUYSSOU, D.TREMOUILLES, P.MARTINEZ, F.ROQUETA, O.ORY, M.BAFLEUR Thermal effects modeling of multi-fingereg European Solid-State Device Research Conference MOSFETs based on new specific test structures ( ESSDERC ) 2009 du 14 septembre au 18 septembre 2009, Athènes (Grèce), Septembre 2009, 4p. J.RUAN, N.NOLHIER, D.TREMOUILLES, G.J.PAPAIOANNOU, R.PLANA J.RUAN, N.NOLHIER, G.J.PAPAIOANNOU, D.TREMOUILLES, V.PUYAL, C.VILLENEUVE-FAURE, T.IDDA, F.COCCETTI, R.PLANA J.RUAN, G.J.PAPAIOANNOU, N.NOLHIER, D.TREMOUILLES, F.COCCETTI, R.PLANA C.E.CARREJO GONZALEZ, P.ARTILLAN, A.SIMON, E.VIDALIDIARTE, B.ESTIBALS, C.ALONSO K.GALICKA-FAU, M.ANDRIEUX, C.LEGROS, I.GALLET, M.BRUNET, E.SCHEID, S.SCHAMM-CHARDON J.RUAN, G.J.PAPAIOANNOU, N.NOLHIER, D.TREMOUILLES, F.COCCETTI, R.PLANA ESD events in SiN RF-MEMS Capacitive Annual EOS/ESD Symposium & Exhibits 2009 du Switches 30 août au 04 septembre 2009, Anaheim (USA), 30 Août - 4 septembre 2009, 6p. Accelerated lifetime test of FR-MEMS switches 20th European Symposium on Reliability of Electron under ESD stress Devices, Failure Physics and Analysis (ESREF 2009), Arcachon (France), 5-9 Octobre 2009 Failure mechanisms of discrete protection 20th European Symposium on Reliability of Electron device subjected to repetitive electrostatic Devices, Failure Physics and Analysis (ESREF discharges (ESD) 2009), Arcachon (France), 5-9 Octobre 2009, pp.1103-1106 F.RACHEDI, J.AUBIN, R.GUILET, Microreactor for catalytic oxidation of VOC: 2nd Euuropean Process Intensification Conference, P.COGNET, A.MARTY, J.TASSELLI characterisation abnd efficiency Venise (Italie), 14-17 Juin 2009 (Résumé) Temperature dependence of ESD charging in 39th European Microwave Conference : European RF MEMS capacitive switch Microwave week 2009 (EuMA: EuMC), Rome (Italie), 28 Septembre-2 octobre 2009 FPGA-based improvement of classical current International Conference on Industrial Technology tracking methods for high-frequency power (IEEE-ICIT 2010), Viña del Mar (Chili), 14-17 Mars converters 2010, pp.718-725 ZrO2 Thin Films Grown On 2D and 3D Silicon EuroCVD-17, Vienne (Autriche), 4-9 Octobre 2009, Surfaces By DLI-MOCVD For Electronic 8p. Devices Charging and discharging studies in microwave 10th Topical Meeting on Silicon Monolithic Integrated capacitive switches under high field pulse Circuits in RF Systems (SIRF 2010), New Orleans discharges (Etats-unis), 11-13 Janvier 2010, pp.140-143 MAI09707 G.SARRABAYROUSE, M.GAVELLE, S.SISKOS Influence of Border Traps on the Determination International Conference on Signals, Circuits and of the Minimum Temperature Coefficient Current Systems (SCS'09), Djerba (Tunisie), 6-8 Octobre in High Sensitivity MOSv Radiation Dosimeters 2009, pp.1-5 MAI09710 A.LUU, P.AUSTIN, N. BUARD, T.CARRIERE, P.POIROT, R.GAILLARD, M.BAFLEUR, G.SARRABAYROUSE D.MEEKHUN, V.BOITIER, J.M.DILHAC Sensitive volume and triggering criteria of SEB European Conference on Radiation and Its Effects in classic planar VDMOS on Components and Systems (RADECS 2009), Bruges (Belgique), 14-18 Septembre 2009, pp.552558 Study of the ambient temperature effect on the 9th Annual Electrical Power and Energy Conference characteristics and the lifetime of Nickel-metal (EPEC 2009), Québec (Canada), 22-23 Octobre Hydride secondary battery 2009, 4p. Charge and Discharge performance of 35th Annual Conference of the IEEE Industrial Secondary Batteries according to Extreme Electronics Society (IECON 2009), Porto (Portugal), Environment Temperatures 3-5 Novembre 2009, pp.271-275 A sido buck converter with ultra low power mppt PowerMEMS 2009, Washington (USA), 1-4 scheme for optimized vibration energy Décembre 2009, 4p. harvesting and management Cross-functional design of wireless sensor International Workshop on Structural Health networks applied to Aircraft Health Monitoring Monitoring, Stanford (USA), 9-11 Septembre 2009, pp.901-908 MAI09720 MAI09721 D.MEEKHUN, V.BOITIER, J.M.DILHAC MAI09729 A.RAMOND, G.A.ARDILA RODRIGUEZ, H.DUROU, B.JAMMES, C.ROSSI J.M.DILHAC, M.BAFLEUR, J.Y.FOURNIOLS, C.ESCRIBA, R.PLANA, D.DRAGOMIRESCU, L.ASSOUERE, P.PONS, H.AUBERT, C. BUCHHEIT J.L.SANCHEZ, A.BOURENNANE, M.BREIL, P.AUSTIN, M.BRUNET, J.P.LAUR M.BRUNET, P.KLEIMANN, E.DARAN, F.CARCENAC, L.JALABERT, P.DUBREUIL M.HEON, Y.GOGOTSI, J.HETTINGER, M.BRUNET, D.PECH, P.L.TABERNA, P.SIMON MAI09773 MAI09797 MAI09825 MAI09840 MAI09845 MAI09861 MAI09879 O.DELEAGE, J.C.CREBIER, M.BRUNET, Y.LEMBEYE, H.TRAN MANH H.DIA, J.B.SAUVEPLANE, P.TOUNSI, J.M.DORKEL T.AZOUI, S.VERDE, J.B.SAUVEPLANE, P.TOUNSI 3D Heterogeneous Functional Integration an Alternative Way to Develop New Power Integrated Functions 650 NF/MM2 3D Capacitors Integrated in Silicon Based on NanoLithography and Electrochemical Etching Carbide-derived carbon for thin film supercapacitors XV International Workshop on the Physics of Semiconductor Devices (IWPSD 2009), New Delhi (Inde), 15-19 Décembre 2009, pp.159-171 20th MicroMechanics europe Workshop (MME 2009), Toulouse (France), 20-22 Septembre 2009, 4p. MRS Fall Meeting 2009 du 30 novembre au 04 décembre 2009, Boston (USA), Novembre 2009, 1p. (Résumé) Design and realization of highly integrated Energy Conversion Congress and Exposition, San isolated DC/DC micro-converter Jose (USA), 20-24 Septembre 2009, pp.3690-3697 A temperature-dependent power MOSFET model for switching application 3D Electro-Thermal Study for Reliability of Automotive Power Vertical MOSFET Using COMSOL Multiphysics Scientific Production — 198 THERMINIC 2009, Louvain (Belgique), 7-9 Octobre 2009, pp.87-90 COMSOL Conference 2009, Milan (Italie), 14-16 Octobre 2009 MAI09906 MAI09941 MAI10023 MAI10033 MAI10034 MAI10078 MAI10099 MAI10101 MAI10113 MAI10115 MAI10117 MAI10146 MAI10163 MAI10251 MAI10254 MAI10255 MAI10273 MAI10319 MAI10337 MAI10341 MAI10356 MAI10403 P.SIMON, D.PECH, H.DUROU, M.BRUNET, P.L.TABERNA, Y.GOGOTSI N.JEMAI, S.CHARLOT, J.TASSELLI, J.Y.FOURNIOLS Nanostructured materials for capacitors MRS Spring Meeting, San Francisco (USA), 13-17 Avril 2009, 1p. (Résumé) J.F.REYNAUD, O.GANTET, P.ALOISI, B.ESTIBALS, C.ALONSO R.MONTHEARD, C.RENARD, M.BAFLEUR, J.M.DILHAC A novel distributed photovoltaic power International Power Electronics and Motion Control architecture using advanced Li-ion batteries Conference (EPE-PEMC 2010), Ohrid (Macédoine), 6-8 Septembre 2010, pp.S9-6-S9-12 Topology holistic design of a wireless SHM Asia Pacific Workshop on Structural Health system for implementation in the Aft pylon fairing Monitoring (APWSHM 2010), Tokyo (Japon), 30 of a commercial jet airplane Novembre - 2 Décembre 2010, 10p. High-frequency digital controller applied to International Power Electronics and Motion Control voltage regulator modules Conference (EPE-PEMC 2010), Ohrid (Macédoine), 6-8 Septembre 2010, pp.T6-109-T6-116 Lead-free solder paste printing optimization for International Conference on Electronic Materials and fine pitch wafer bumping Packaging (EMAP 2009), Penang (Malaisie), 1-3 Décembre 2009, 9p. G.TOULON, I.CORTES, Analysis of technological concerns on electrical International Symposium on Power Semiconductor F.MORANCHO, E. HUGONNARD- characteristics of SOI power LUDMOS Devices and ICs (ISPSD'10), Hiroshima (Japon), 6BRUYERE, B.VILLARD, W.J. transistors 10 Juin 2010, 4p. TOREN N.MONNEREAU, F.CAIGNET, Impact of a decoupling capacitance on ESD International Electrostatic Discharge Workshop D.TREMOUILLES propagation at system Level : Simulation an d 2010, Tutzing (Allemagne), 10-13 Mai 2010, 23p. Measurement Comparison D.TREMOUILLES, Simple ICs-internal-protection models for International Electrostatic Discharge Workshop N.MONNEREAU, F.CAIGNET, system level ESD simulation 2010, Tutzing (Allemagne), 10-13 Mai 2010, 23p. M.BAFLEUR J.BOURGEAT, C.ENTRINGER, TCAD study of the impact of trigger element and Electrical Overstress / Electrostatic Discharge P.GALY, F.JEZEQUEL, topoly on silicon controlled rectifier turn-on Symposium (EOS/ESD Symposium 2010), Reno M.BAFLEUR behavior (USA), 3-8 Octobre 2010, pp.11-19 N.MONNEREAU, F.CAIGNET, Building-up of system level ESD modeling: Electrical Overstress / Electrostatic Discharge D.TREMOUILLES, N.NOLHIER, Impact of a decoupling capacitance on ESD Symposium (EOS/ESD Symposium 2010), Reno M.BAFLEUR Propagation (USA), 3-8 Octobre 2010, pp.127-136 G.TOULON, I.CORTES, High voltage MOS transistors on 0.18¼m SOI Workshop of the Thematic Network on Silicon on F.MORANCHO, E. HUGONNARD- CMOS technology Insulator Technology, Devices and Circuits BRUYERE, B.VILLARD, W.J. (EUROSOI 2010), Grenoble (France), 25-27 Janvier TOREN 2010, 2p. G.AURIOL, C.BARON, Lab work for the power-oriented design of a International Power Electronics Conference (IPEC J.M.DILHAC, M.BAFLEUR, wireless sensor network 2010), Sapporo (Japon), 21-24 Juin 2010, 5p. J.Y.FOURNIOLS G.AURIOL, C.BARON, Design lab work in telecom - Definition, design IEEE Engineering Education (EDUCON 2010), J.M.DILHAC, J.Y.FOURNIOLS and test of a wireless sensor network Madrid (Espagne), 14-16 Avril 2010, pp.1853-1857 I.CORTES, D.FLORES, Power lateral split-gate field plate MOS Workshop of the Thematic Network on Silicon on F.MORANCHO, S.HIDALGO, transistor on thick-SOI substrate Insulator Technology, Devices and Circuits J.REBOLLO (EUROSOI 2010), Grenoble (France), 25-27 Janvier 2010, 2p. C.ESCRIBA, H.BOUKABACHE, Sensor network for non destructive control of European Workshop on Structural Health Monitoring S.KSOURI, M.LASTAPIS, aeronautics structures: piezo or accelerometer (EWSHM 2010), Sorrento (Italie), 29 Juin - 2 Juillet J.L.BOIZARD, T.CAMPS, diagnosis ? 2010, pp.388-393 J.M.DILHAC, S.ROLET, J.Y.FOURNIOLS E.AL ALAM, I.CORTES, Comparison of GaN-based MOS structures with International Conference on Microelectronics (MIEL M.P.BESLAND, P.REGRENY, different interfacial layer treatments 2010), Nis (Serbie), 16-19 Mai 2010, pp.459-462 A.GOULLET, F.MORANCHO, A.CAZARRE, Y.CORDIER, K.ISOIRD E.MARCAULT, M.BREIL, VDMOS Ron as a mechanical state indicator for International Seminar on Power Semiconductors A.BOURENNANE, P.TOUNSI, device failure anticipation (ISPS'10), Prague (République Tchèque), 1-3 J.M.DORKEL Septembre 2010, pp.67-72 H.TAHIR, A.BOURENNANE, Realization and characterization of a current and International Seminar on Power Semiconductors J.L.SANCHEZ voltage bidirectional IGBT (ISPS'10), Prague (République Tchèque), 1-3 Septembre 2010, pp.73-78 H.TAHIR, A.BOURENNANE, A vertical monolithically integrated bidirectional International Seminar on Power Semiconductors J.L.SANCHEZ, M.BREIL, IGBT having all the electrodes on the front side (ISPS'10), Prague (République Tchèque), 1-3 J.C.CREBIER Septembre 2010, pp.249-253 J.BOURGEAT, C.ENTRINGER, Evaluation of the ESD performance of local European Symposium on Reliability of Electron P.GALY, M.BAFLEUR, D.MARIN- protections based on SCR or bi-SCR with Devices Failure Physics and Analysis (ESREF CUDRAZ dynamic or static trigger circuit in 32nm 2010), Gaeta (Italie), 11-15 Octobre 2010, 4p. C.A.RAMOS-PAJA, C.E.CARREJO Modeling of full photovoltaic systems for control International Conference on Renewable Energies GONZALEZ, A.SIMON, E.PEREZ, purposes and Power Quality (ICREPQ'10), Granada D.GONZALEZ MONTOYA, (Espagne), 23-25 Mars 2010, pp.712-717 B.ESTIBALS, C.ALONSO C.E.CARREJO GONZALEZ, A.SIMON, C.A.RAMOS-PAJA, C.ALONSO, M.TRUNTIC, M.MILANOVIC T.AZOUI, P.TOUNSI, J.M.DORKEL Boundary condition independent multiple cooling Electronics System Integration technology surfaces transient compact thermal model Conference (ESTC 2010), Berlin (Allemagne), 13-16 Septembre 2010, 4p. Scientific Production — 199 MAI10435 MAI10448 MAI10503 MAI10542 MAI10583 MAI10587 MAI10610 MAI10611 MAI10622 MAI10638 MAI10651 MAI10671 MAI10692 MAI10693 MAI10734 MAI10735 MAI10801 MAI10802 MAI10803 MAI10807 MAI10845 F.CAIGNET, N.MONNEREAU, N.NOLHIER A.RAMOND, M.SANCHEZ, K.LI, H.DUROU, B.JAMMES, C.ROSSI Non-invasive system level ESD current measurement using magnetic field probe A single inductor DIDO converter with ultra low power MPPT and thin film LIPON battery for piezoelectric energy harvesting and management T.AZOUI, P.TOUNSI, P.DUPUY, Dynamic compact thermal model for smart J.M.DORKEL electro-thermal simulation: application to automotive power device T.AZOUI, P.TOUNSI, J.M.DORKEL Innovative methodology to extract dynamic compact thermal models: application to power devices M.ORELLANA BARCELO, S.PETIBON, B.ESTIBALS, C.ALONSO Y.EL BASRI, S.PETIBON, B.ESTIBALS, C.ALONSO Four switch buck-boost converter photovoltaic DC-DC power applications International Electrostatic Discharge Workshop 2010, Tutzing (Allemagne), 10-13 Mai 2010, 17p. PowerMEMS 2010, Louvain (Belgique), 30 Novembre - 3 Décembre 2010, 4p. IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM 2010), Austin (USA), 4-6 Octobre 2010, pp.265-268 International Workshop on THERMal INvestigations of ICs and Systems (THERMINICS 2010), Barcelone (Espagne), 6-8 Octobre 2010, pp.160-164 for Annual Conference of the IEEE Industrial Electronics Society (IECON 2010), Phoenix (USA), 7-10 Novembre 2010, pp.469-474 New P&O MPPT algorithm for FPGA Annual Conference of the IEEE Industrial Electronics implementation Society (IECON 2010), Pheonix (USA), 7-10 Novembre 2010, pp.2868-2873 J.RUAN, G.J.PAPAIOANNOU, Pulse induced charging tests in capacitive RF- European Microwave Week 2010 (EuMC 2010), D.TREMOUILLES, N.NOLHIER, MEMS switches Paris (France), 26 Septembre - 1 Octobre 2010, F.COCCETTI, R.PLANA pp.517-520 J.RUAN, C.VILLENEUVE-FAURE, Push-pull seesaw principle capacitive RF-MEMS International Symposiumon RF MEMS and RF F.COCCETTI, P.PONS, shunt switch Microsystems (MEMSWAVE 2010), Otranto (Italie), N.NOLHIER, R.PLANA 28-30 Juin 2010, 4p. H.DUROU, G.A.ARDILA Micromachined bulk PZT piezoelectric vibration PowerMEMS 2010, Louvain (Belgique), 30 RODRIGUEZ, A.RAMOND, harverster to improve effectiveness over low Novembre - 3 décembre 2010, 4p. X.DOLLAT, C.ROSSI, D.ESTEVE amplitude and low frequency vibrations D.PECH, M.BRUNET, J.MC Influence of the architecture of micro- Annual Meeting of the International Society of DONOUGH, T.UBIETO, supercapacitors on their electrochemical Electrochemistry, Nice (France), 26 Septembre - 1 V.MOCHALIN, Y.GOGOTSI, performances Octobre 2010, 1p. (Résumé) P.L.TABERNA, P.SIMON M.LASTAPIS, C.ESCRIBA, Embedded blade microsystem and events Asia Pacific Workshop on Structural Health G.AURIOL, E.ALBU, P.BERTHOU, recorder for drone structural health monitoring Monitoring (APWSHM 2010), Tokyo (Japon), 30 J.L.BOIZARD, J.M.DILHAC, Novembre - 2 Décembre 2010, 9p. J.Y.FOURNIOLS H.DIA Thermo-sensitive snapback behavioral model International Workshop on THERMal INvestigations intended for electro-thermal simulation of power of ICs and Systems (THERMINICS 2010), Barcelone MOSFETs (Espagne), 6-8 Octobre 2010, 4p. C.E.CARREJO GONZALEZ, FPGA-based digital voltage-current controller for Annual Conference of the IEEE Industrial Electronics E.VIDAL-IDIARTE, B.ESTIBALS, a buck converter Society (IECON 2010), Phoenix (USA), 7-10 C.ALONSO Novembre 2010, 6p. C.A.RAMOS-PAJA, C.E.CARREJO A ripple-mitigating pre-filter based on interleaved Annual Conference of the IEEE Industrial Electronics GONZALEZ, A.J.SAAVEDRADC-DC boost converters Society (IECON 2010), Phoenix (USA), 7-10 MONTES, I.RAMIREZ, Novembre 2010, 7p. L.PANIAGUA, R.GIRAL, C.ALONSO A.HNEINE, J.L.MASSOL, Distributed modeling approach applied to the Thermal, Mechanical and Multi-Physics Simulation P.TOUNSI, P.AUSTIN power P-I-N diode using VHDL-AMS and Experiments in Microelectronics and Microsystems (EuroSimE 2011), Linz (Autriche), 1720 Avril 2011, 3p. T.AZOUI, P.TOUNSI, J.M.DORKEL Electro-thermo-mechanical finite element COMSOL Conference 2010, Paris (France), 17-19 modeling to investigate the reliability of Novembre 2010, 4p. automotive MOSFET transistor M.BRUNET, P.ARTILLAN, Integrated LC filter on silicon for DC-Dc International Workshop on Power Supply On Chip D.BOURRIER, J.P.LAUR, converter applications (PWRSOC'10), Cork (Irelande), 13-15 Octobre N.MAURAN, L.BARY, M.DILHAN, 2010, 1p. (Résumé) B.ESTIBALS, C.ALONSO, J.L.SANCHEZ M.BRUNET, D.PECH, H.DUROU, Ultra high power carbon-based micro- International Workshop on Power Supply On Chip P.HUANG, V.MOCHALIN, supercapacitors (PWRSOC'10), Cork (Irelande), 13-15 Octobre Y.GOGOTSI, P.L.TABERNA, 2010, 1p. (Résumé) P.SIMON H.TAHIR, A.BOURENNANE, A monolithically integrated bidirectional IGBT: International Power Electronics and Motion Control J.L.SANCHEZ, effect of spatial IGBT elementary cells Conference (EPE-PEMC 2010), Orhid (Macédoine), G.SARRABAYROUSE, repartitioning and technology of realization on 6-8 Septembre 2010, pp.29-33 E.IMBERNON device performance C.PHILIPPE, R.GUILET, Efficiency of a catalytic microreactor for volatile European Conference on Microfluidics (MicroFlu P.COGNET, J.TASSELLI, organic compounds oxidation 2010), Toulouse (France), 8-10 Décembre 2010, 8p. A.MARTY I.CORTES, G.TOULON, Analysis and optimization of lateral thin-film International Seminar on Power Semiconductors F.MORANCHO, E. HUGONNARD- silicon-on-insulator (SOI) MOSFET transistors (ISPS'10), Prague (République Tchèque), 1-3 BRUYERE, B.VILLARD, W.J. Septembre 2010, pp.263-268 TOREN Scientific Production — 200 MAI10887 MAI10888 MAI10965 MAI10969 MAI11033 MAI11038 MAI11045 MAI11050 MAI11051 MAI11054 MAI11057 MAI11058 MAI11059 MAI11061 MAI11062 MAI11069 MAI11087 MAI11108 MAI11115 MAI11117 MAI11128 MAI11152 S.HNIKI, G.BERTRAND, A.CANEPARI, M.MINONDO, H.JAOUEN, F.MORANCHO S.HNIKI, G.BERTRAND, A.CANEPARI, M.MINONDO, H.JAOUEN, F.MORANCHO S.THIJS, D.TREMOUILLES, D.LINTEN, N.MAHADEVA IYER, A.GRIFFONI, G.GROESENEKEN A.DELMAS, A.GENDRON, M.BAFLEUR, N.NOLHIER, C.GILL Thermal effects modeling and characterization of NLDMOS on SOI using distributed thermal network Multi-fingered LDEMOS thermal analysis based on a distributed thermal network A.BERASATEGI AROSTEGI, C.CABAL, Y.EL BASRI, B.ESTIBALS, M.VERMEERSCH, C.ALONSO C.PHILIPPE, R.GUILET, P.COGNET, J.TASSELLI, A.MARTY D.MEEKHUN, V.BOITIER, J.M.DILHAC, S.PETIBON, C.ALONSO, B.ESTIBALS E.MARCAULT, M.BREIL, A.BOURENNANE, P.TOUNSI, P.DUPUY An adaptive multi-phases photovoltaic applications J.F.REYNAUD, C.E.CARREJO GONZALEZ, O.GANTET, P.ALOISI, B.ESTIBALS, C.ALONSO N.MONNEREAU, F.CAIGNET, N.NOLHIER, D.TREMOUILLES, M.BAFLEUR H.ARBESS, D.TREMOUILLES, M.BAFLEUR Active balancing circuit for advanced lithium-ion International Conference on Renewable Energies batteries used in photovoltaic application and Power Quality (ICREPQ'11), Las Palmas de Gran Canaria (Espagne), 13-15 Avril 2011, 6p. Advanced ESD power clamp design for SOI FinFET CMOS technology Transient voltage overshoots of high voltage ESD protections based on bipolar transistors in smart power technology E.MARCAULT, T.AZOUI, M.BREIL, Impact of VDMOS source metallization ageing in A.BOURENNANE, P.TOUNSI, 3D FEM wire lift off modeling P.DUPUY converter for Efficiency of a catalytic microreactor for volatile organic compounds oxidation European Solid State Device Research Conference (ESSDERC 2010), Seville (Espagne), 13-17 Septembre 2010, 2p. International Conference on Solid State Devices and Materials (SSDM 2010), Tokyo, 22-24 Septembre 2010, 2p. International Conference on IC Design and Technology (ICICDT 2010), Grenoble (France), 2-4 Juin 2010, pp.43-46 IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM 2010), Austin (USA), 4-6 Octobre 2010, pp.253-256 Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE 2011), Linz (Autriche), 1720 Avril 2011, 2p. International Exhibition & Conference for Power Electronics Intelligent Motion Power Quality (PCIM Europe 2011), Nuremberg (Allemagne), 17-19 Mai 2011, pp.363-367 European Process Intensification Conference (EPIC 2011), Manchester (UK), 20-23 Juin 2011, 1p. Buck converter design for photovoltaic International Conference on Renewable Energies generators with supercapacitor energy storage and Power Quality (ICREPQ'11), Las Palmas de Gran Canaria (Espagne), 13-15 Avril 2011, 4p. Impact of the solder joint ageing on IGBT I-V Thermal, Mechanical and Multi-Physics Simulation characteristics using 2D physical simulation and Experiments in Microelectronics and Microsystems (EuroSimE 2011), Linz (Autriche), 1720 Avril 2011, 2p. A.BOURENNANE, H.TAHIR, High temperature wafer bonding technique for International Symposium on Power Semiconductor J.L.SANCHEZ, E.IMBERNON, the realization of a voltage and current Devices & ICs (ISPSD 2011), San Diego (USA), 23G.SARRABAYROUSE bidirectional IGBT 26 Mai 2011, pp.140-143 H.TAHIR, A.BOURENNANE, A monolithically integrated vertical bidirectional Power Electronics and Applications (EPE 2011), J.L.SANCHEZ, IGBT having all the main electrodes on the front Birmingham (UK), 30 Août - 1 Septembre 2011, pp.1G.SARRABAYROUSE, L.PONT side 9 N.MONNEREAU, F.CAIGNET, Investingating the probability of susceptibility Electrical Overstress/Electrostatic Discharge N.NOLHIER, D.TREMOUILLES, failure within ESD system level consideration Symposium (EOS/ESD 2011), Anaheim (USA), 11M.BAFLEUR 16 Septembre 2011, pp.6A.2-1-6A.2-6 P.BESSE, F.LAFON, ESD system level characterization and modeling Electrical Overstress/Electrostatic Discharge N.MONNEREAU, F.CAIGNET, methods applied to a LIN transceiver Symposium (EOS/ESD 2011), Anaheim (USA), 11J.P.LAINE, A.SALLES, S.RIGOUR, 16 Septembre 2011, pp.5B.3-1-5B.3-9 M.BAFLEUR, N.NOLHIER, D.TREMOUILLES A.BERASATEGI AROSTEGI, Y.EL Control laws to improve efficiency and average International Conference on Renewable Energies BASRI, C.CABAL, B.ESTIBALS, life time of an adaptive multi-phases converter and Power Quality (ICREPQ'11), Las Palmas de M.VERMEERSCH, C.ALONSO dedicated to photovoltaic applications Gran Canaria (Espagne), 13-15 Avril 2011, 6p. E.MARCAULT, M.BREIL, A.BOURENNANE, P.TOUNSI, P.DUPUY C.E.CARREJO GONZALEZ, F.JIMENEZ, C.A.RAMOS-PAJA, B.ESTIBALS, C.ALONSO E.MARCAULT, M.BREIL, A.BOURENNANE, P.TOUNSI, D.MARTINEAU, P.DUPUY T.AZOUI, P.TOUNSI, G.PASQUET, P.DUPUY, J.M.DORKEL R.MONTHEARD, C.ESCRIBA, J.Y.FOURNIOLS, M.LASTAPIS, J.PRUNET, M.BAFLEUR, J.M.DILHAC Behavioral-modeling methodology to predict electrostatic-discharge susceptibility failures at system level: an IBIS improvement High temperature operation MOS-IGBT power clamps for improved EDS protection in smart power SOI technology Exploring ageing effects on integrated power devices (I-V) for health monitoring EMC Europe 2011, York (UK), 26-30 Septembre 2011, pp.457-463 Electrical Overstress/Electrostatic Discharge Symposium (EOS/ESD 2011), Anaheim (USA), 1116 Septembre 2011, pp.1B.2-1-1B.2-8 Conference on Ph. D. Research in Microelectronics and Electronics (PRIME 2011), Trento (Italie), 4-8 Juillet 2011, 4p. FPGA-based digital sliding mode control for a International Symposium on Industrial Electronics DC-DC boost converter (ISIE’2011), Gdansk (Pologne), 27-30 Juin 2011, 8p. Impact of source metallization ageing on thermo-Power Electronics and Applications (EPE 2011), mechanical characteristics of a vertical power Birmingham (UK), 30 Août - 1 Septembre 2011, pp.1device 7 Dynamic compact thermal model for smart Thermal, Mechanical and Multi-Physics Simulation electrothermal modeling and design optimization and Experiments in Microelectronics and of automotive power devices Microsystems (EuroSimE 2011), Linz (Autriche), 1820 Avril 2011, 6p. Wireless and batteryless accelerometry for International Workshop on Structural Health aircraft structural health monitoring Monitoring (IWSHM 2011), Stanford (USA), 13-15 Septembre 2011, pp.471-478 Scientific Production — 201 MAI11195 K.ARMSTRONG, J.GAUDET, M.BRUNET, D.GUAY, D.PECH MAI11204 T.AZOUI, P.TOUNSI, P.DUPUY, L.GUILLOT, J.M.DORKEL MAI11208 C.E.CARREJO GONZALEZ, R.GIRAL, E.ARANGO, C.A.RAMOS-PAJA, C.OLALLA MARTINEZ, C.ALONSO E.POMES, J.M.REYNES, P.TOUNSI, J.M.DORKEL MAI11245 MAI11255 MAI11282 T.SIMONOT, H.X.NGUYEN, N.ROUGER, J.C.CREBIER, A.BOURENNANE, L.GERBAUD, J.L.SANCHEZ H.ARBESS, M.BAFLEUR MAI11422 M.DIATTA, D.TREMOUILLES, E.BOUYSSOU, M.BAFLEUR MAI11433 R.GIRAL, C.E.CARREJO GONZALEZ, C.ALONSO, A.J.SAAVEDRA-MONTES, C.A.RAMOS-PAJA J.M.DIENOT, E.BATISTA, J.L.MASSOL, P.CHALIMBAUD MAI11452 MAI11491 MAI11497 MAI11532 MAI11541 MAI11568 MAI11572 MAI11623 MAI11624 MAI11651 MAI11665 MAI11695 MAI11749 MAI11797 Influence of the configuration of RuO2 thin film International Symposium on Enhanced electrochemical micro-capacitors Electrochemical Capacitors (ISEE'Cap 2011), Poznan (Pologne), 12-16 Juin 2011, 1p. 3D electro-thermal modelling of bonding and European Symposium Reliability of Electron Devices metallization ageing effects for reliability Failure Physics and Analysis (ESREF 2011), improvement of power MOSFETs Bordeaux (France), 3-7 Octobre 2011, 5p. Fuel cell hybrid topologies selection and control International Conference on Power Engineering, Energy and Electrical Drives (POWERENG 2011), Torremolinos (Espagne), 11-13 Mai 2011, 6p. MOSFETs quality and robustness enhancement with a new QBD characterization performed at probe-die-wafer level Towards reduced threshold voltages for vertical power Mosfet transistors Microtechnology and Thermal Problems in Electronics (MICROTHERM 2011), Lodz (Pologne), 28 Juin - 1 Juillet 2011, 6p. International Symposium on Industrial Electronics (ISIE’2011), Gdansk (Pologne), 27-30 Juin 2011, 6p. MOS-IGBT power devices for high-temperature European Symposium Reliability of Electron Devices operation in smart power SOI technology Failure Physics and Analysis (ESREF 2011), Bordeaux (France), 3-7 Octobre 2011, 4p. Investigation of statistical tools to analyze Electrical Overstress/Electrostatic Discharge repetitive HMM stress endurance of system- Symposium (EOS/ESD 2011), Anaheim (USA), 11level ESD protection 16 Septembre 2011, pp.4A.2-1-4A.2-9 PV field distributed maximum power point International Conference on Clean Electrical Power tracking by means of an active bypass converter (ICCEP 2011), Ischia (Italie), 14-16 Juin 2011, 5p. Study of a near-field antenna module for real International Conference on Electromagnetic Nearelectromagnetic noise and reliability evaluations Field Characterization and Imaging (ICONIC 2011), Rouen (France), 30 Novembre - 2 Décembre 2011, 4p. Y.EL BASRI, C.E.CARREJO Improved photovoltaic conversion chain by European Photovoltaic Solar Energy Conference GONZALEZ, C.ALONSO, means of adaptive multi-phase converter and Exhibition (PVSEC 2011), Hambourg B.ESTIBALS, M.VERMEERSCH (Allemagne), 5-8 Septembre 2011, 5p. S.PETIBON, B.ESTIBALS, Y.EL Distributed MPPT power converters for multi- European Photovoltaic Solar Energy Conference BASRI, L.FRANCKE, therminal module and Exhibition (PVSEC 2011), Hambourg M.VERMEERSCH, C.ALONSO (Allemagne), 5-9 Septembre 2011, 7p. Y.EL BASRI, C.E.CARREJO Rotational multilevel converter control strategy Annual Conference of the IEEE Industrial Electronics GONZALEZ, C.ALONSO, based on FPGA Society (IECON 2011), Melbourne (Australie), 7-10 B.ESTIBALS, M.VERMEERSCH Novembre 2011, 7p. M.ZERARKA, P.AUSTIN, Cosmic ray immunity of new IGBT structures for International Semiconductor Conference (CAS M.BAFLEUR aerospace application 2011), Sinaia (Roumanie), 17-19 Octobre 2011, 4p. E.POMES, J.M.REYNES, Interest of surface treatment at gate oxyde level International Conference on Microelectronics (ICM P.TOUNSI, J.M.DORKEL for power MOSFETs quality and reliability 2011), Hammamet (Tunisie), 19-22 Décembre 2011, 6p. T.AZOUI, P.TOUNSI, J.M.DORKEL Studies on the error generated by dynamic Microtechnology and Thermal Problems in compact thermal model Electronics (MICROTHERM 2011), Lodz (Pologne), 28 Juin - 1 Juillet 2011, 7p. E.MARCAULT, D.WEIDMANN, 3D deformation FEM simulations and Thermal, Mechanical and Multi-Physics Simulation A.BOURENNANE, M.BREIL, measurement during VDMOS transistor and Experiments in Microelectronics and L.CHARPIOT operation Microsystems (EuroSimE 2012), Lisbonne (Portugal), 15-18 Avril 2012, 4p. E.MARCAULT, A.BOURENNANE, Using zero thermal coefficient point property for International Symposium on Power Semiconductor M.BREIL, P.TOUNSI, P.DUPUY VDMOS power devices health monitoring Devices and ICs (ISPSD 2012), Bruges (Belgique), 37 Juin 2012, 4p. I.CORTES, G.TOULON, Analysis and optimization of lateral thin-film Workshop of the Thematic Network on Silicon on F.MORANCHO, D.FLORES, E. silicon-on-insulator (SOI) PMOS transistor with Insulator Technology, Devices and Circuits HUGONNARD-BRUYERE, an NBL layer in the drift region (EUROSOI 2011), Grenade (Espagne), 17-19 B.VILLARD Janvier 2011, 2p. D.MEEKHUN, V.BOITIER, Design of a solar harvester system for a International Conference on Renewable Energies J.M.DILHAC wireless sensor network deployed for large and Power Quality (ICREPQ’12), Santiago de aircraft in-flight tests Compostela (Espagne), 28-30 Mars 2012, 6p. O.BONNAUD, P.GENTIL, GIP-CNFM : a French education network IEEE Engineering Education (EDUCON 2011), A.BSIESY, S.RETAILLEAU, moving from microelectronics to Amman (Jordanie), 4-6 Avril 2011, pp.122-127 E.DUFOUR-GERGAM, nanotechnologies J.M.DORKEL B.M.SERRANO MESA, D.RABELY, Integration of electrochemical microsensors on ISE 2011 Spring Meeting, Turku (Finlande), 5-8 Mai L.PONT, C.CHRISTOPHE, flexible film 2011, 1p. J.LAUNAY, P.TEMPLE BOYER, F.SEKLI, P.GROS B.LI, N.BERBEL, A.BOYER, S.BEN DHIA, R.FERNANDEZ GARCIA Study of the impact of hot carrier injection to European Symposium Reliability of Electron Devices immunity of MOSFET to electromagnetic Failure Physics and Analysis (ESREF 2011), interferences Bordeaux (France), 3-7 Octobre 2011, 5p. Scientific Production — 202 MAI11798 E.SICARD, A.BOYER MAI11799 N.BERBEL, R.FERNANDEZ An alternative approach to model the Internal International Workshop on Electromagnetic GARCIA, I.GIL, B.LI, S.BEN DHIA, Activity of integrated circuits Compatibility of Integrated Circuits (EMC Compo A.BOYER 2011), Dubrovnik (Croatie), 6-9 Novembre 2011, 5p. MAI11800 A.BOYER, S.BEN DHIA, C. LEMOINE, B.VRIGNON Construction and evaluation of the susceptibility International Workshop on Electromagnetic odel of an integrated phase-locked loop Compatibility of Integrated Circuits (EMC Compo 2011), Dubrovnik (Croatie), 6-9 Novembre 2011, 6p. MAI11801 A.BOYER, S.BEN DHIA, C. LEMOINE, B.VRIGNON An on-chip sensor for characterization of interferences MAI11869 S.MORAND, F.MILLER, P.AUSTIN, Temperature effects on power MOSFET and European Conference on Radiation and Its Effects P.POIROT, R.GAILLARD, IGBT sensitivities toward single events on Components and Systems (RADECS) 2011 du T.CARRIERE, N. BUARD 19 septembre au 23 septembre 2011, Seville (Espagne), Septembre 2011, 6p. M.ORELLANA BARCELO, A high efficiency photovoltaic conversion chain International Conference on Renewable Energies B.ESTIBALS, A.CID-PASTOR, based on a four-switch buck-boost converter and Power Quality (ICREPQ’12), Santiago de Y.EL BASRI, L.SEGUIER, Compostela (Espagne), 28-30 Mars 2012, 6p. C.ALONSO E.POMES, J.M.REYNES, Investigation of failure mechanisms in low- International Conference on Microelectronics (MIEL P.TOUNSI, J.M.DORKEL voltage power VDMOSFETs linked with gate 2012), Nis (Serbie), 13-16 Mai 2012, 4p. oxide process quality T.AZOUI, P.TOUNSI, P.DUPUY, Numerical and experimental results correlation Thermal, Mechanical and Multi-Physics Simulation J.M.DORKEL, E.MARCAULT concerning power MOSFETs ageing and Experiments in Microelectronics and Microsystems (EuroSimE 2012), Lisbonne (Portugal), 16-18 Avril 2012, 4p. G.TOULON, A.BOURENNANE, Impact of a backside Schotty contact on the International Seminar on Power Semiconductors K.ISOIRD thyristor characteristics at high temperatures (ISPS 2012), Prague (République Tchèque), 29-31 Août 2012, pp.131-136 G.TOULON, I.CORTES, Analysis and optimization of a novel high International Seminar on Power Semiconductors F.MORANCHO, K.ISOIRD, voltage striped STI-LDMOS transistor on SOI (ISPS 2012), Prague (République Tchèque), 29-31 A.BOURENNANE CMOS technology Août 2012, pp.122-128 A.EL KHADIRY, A.BOURENNANE, Monolithically integrated switching cells suitable International Seminar on Power Semiconductors M.BREIL, F.RICHARDEAU for high density power conversion (ISPS 2012), Prague (République Tchèque), 29-31 Août 2012, pp.222-229 K.ARMSTRONG, T.T.MDINH, Ruthenium oxide electrodepositionon titanium MRS Fall Meeting 2012 du 25 novembre au 30 D.PECH, M.BRUNET, J.GAUDET, interdigitated microarrays for energy storage novembre 2012, Boston (USA), Novembre 2012, 1p. D.GUAY T.AZOUI, P.TOUNSI, Auto-adaptive Multi-heat sources and multi- International Seminar on Power Semiconductors E.MARCAULT, J.M.DORKEL, cooling surfaces dynamic compact thermal (ISPS 2012), Prague (République Tchèque), 29-31 J.L.MASSOL model Août 2012, pp.155-161 B.COUGO FRANCA, T.MEYNARD, Reconfigurable dual active bridge converter for International Conference on Electrical Systems for H.SCHNEIDER aircraft applications Aircraft Railway and Ship Propulsion ( ESARS ) 2012 du 16 octobre au 18 octobre 2012, Bologne (Italie), Octobre 2012, 6p. Y.EL BASRI, C.LAHORE, Comparative study of efficient MPPT controllers International Conference and Exhibition for Power A.RAMOND, R.LEYVA, to optimize the managing of PV systems Electronics Intelligent Motion Renewable Energy V.BOITIER, C.ALONSO and Energy Management (PCIM 2012), Sao Paulo (Brésil), 11-13 Septembre 2012, 4p. A.BERASATEGI AROSTEGI, An adaptive control developed for multiphase Annual Conference of the IEEE Industrial Electronics C.PARAGUA MACURI, converters based on look-up tables and applied Society ( IECON ) 2012 du 25 octobre au 28 B.ESTIBALS, Y.EL BASRI, to photovoltaic conversion systems octobre 2012, Montréal (Canada), 2012, 6p. L.SEGUIER, A.RAMOND, C.E.CARREJO GONZALEZ, C.ALONSO M.ZERARKA, P.AUSTIN, Analysis study of sensitive volume and International Seminar on Power Semiconductors ( F.MORANCHO, K.ISOIRD, triggering criteria of SEB in super-junction ISPS ) 2012 du 29 août au 31 août 2012, Prague H.ARBESS, J.TASSELLI MOSFETs (République Tchèque), Prague (République Tchèque), 6p. R.MONTHEARD, M.BAFLEUR, Self-adaptive ultra-capacitors: a new concept for International Workshop on Micro and V.BOITIER, J.M.DILHAC, efficient energy harvesting and storage Nanotechnology for Power Generation and Energy X.LAFONTAN Conversion Applications ( PowerMEMS ) 2012 du 02 décembre au 05 décembre 2012, Atlanta (USA), Décembre 2012, 4p. R.MONTHEARD, S.CARBONNE, Proof of concept of energy harvesting from aero International Workshop on Micro and M.BAFLEUR, V.BOITIER, acoustic noise Nanotechnology for Power Generation and Energy J.M.DILHAC, X.DOLLAT, Conversion Applications ( PowerMEMS ) 2012 du N.NOLHIER, E.PIOT, C.AIRIAU 02 décembre au 05 décembre 2012, Atlanta (USA), Décembre 2012, pp.267-270 J.M.DILHAC, M.BAFLEUR Energy generation in aeronautics for battery-free More Electric Aircraft ( MEA ) 2012 du 20 novembre wireless sensor networks au 21 novembre 2012, Bordeaux (France), Novembre 2012, paper n) 5-3, 5p. MAI12064 MAI12098 MAI12191 MAI12209 MAI12210 MAI12311 MAI12413 MAI12454 MAI12477 MAI12492 MAI12516 MAI12570 MAI12609 MAI12610 MAI12612 Enhancing engineers skills in EMC of integrated International Workshop on Electromagnetic circuits Compatibility of Integrated Circuits (EMC Compo 2011), Dubrovnik (Croatie), 6-9 Novembre 2011, 4p. time domain International Workshop on Electromagnetic electromagnetic Compatibility of Integrated Circuits (EMC Compo 2011), Dubrovnik (Croatie), 6-9 Novembre 2011, 6p. Scientific Production — 203 MAI12613 MAI12627 MAI12663 MAI12732 MAI12796 MAI12797 MAI13079 MAI13103 MAI13174 MAI13241 A.TAKACS, H.AUBERT, M.BAFLEUR, J.M.DILHAC, F.COURTADE, S.FREDON, L.DESPOISSE, C.VANHECKE, G.CLUZET V.DUPE, B.JAMMES, L.SEGUIER, C.ALONSO Energy harvesting for powering wireless sensor Workshop on energy and Wireless Sensors ( e-WiSe networks on-board geostationary broadcasting ) 2012 du 20 novembre au 23 novembre 2012, satellites Besançon (France), Novembre 2012, 4p. S.MORAND, F.MILLER, T.SANTINI, F.MOLIERE, E.CELERAULT, B.FOUCHER, P.AUSTIN, T.CARRIERE, R.GAILLARD Z.ZHANG, H.SCHNEIDER, P.TOUNSI Development of a near UV SPA laser tool for European Conference on Radiation and Its Effects wide band gap semiconductor single events on Components and Systems (RADECS) 2012 du assessment 24 septembre au 28 septembre 2012, Biarritz (France), Septembre 2012, 5p. Behavioral modeling of power losses in FSBB International Exhibition and Conference for Power converters Electronics, Intelligent Motion, Renewable Energy and Energy Management ( PCIM Europe ) 2013 du 14 mai au 16 mai 2013, Nuremberg (Allemagne), Mai 2013, pp.1701-1706 E.MARCAULT, A.BOURENNANE, Identification of VDMOS electrical parameters International Seminar on Power Semiconductors ( M.BREIL, P.TOUNSI, J.M.DORKEL potentially usable as mechanical stress ISPS ) 2012 du 29 août au 31 août 2012, Prague indicators for power assembly ageing monitoring (République Tchèque), Décembre 2012, 7p. A promising solution using CVD diamond for Microtechnology and Thermal Problems in efficient cooling of power devices Electronics ( MICROTHERM ) 2011 du 28 juin au 01 juillet 2011, Lodz (Pologne), 2nd Best Young Scientist Paper, Juin 2011, 6p. B.COUGO FRANCA, T.MEYNARD, Double three-phase dual active bridge converter Annual Conference of the IEEE Industrial Electronics H.SCHNEIDER for high frequency high current applications Society ( IECON ) 2012 du 25 octobre au 28 octobre 2012, Montréal (Canada), Octobre 2012, 6p. T.Y.MNGUYEN, M.BRUNET, J.P.LAUR, D.BOURRIER, S.CHARLOT, Z.VALDEZ NAVA, V.BLEY, C.COMBETTES T.AZOUI, E.MARCAULT, P.TOUNSI, J.L.MASSOL, J.M.DORKEL, P.DUPUY Low-profile small-size ferrite cores powerSiP European Conference on Power Electronics and integrated inductors Applications ( EPE ) 2013 du 03 septembre au 05 septembre 2013, Lille (France), Septembre 2013, 5p. Electro-thermal modeling to quantify the IEEE International Conference on Thermal, electrothermal impact of the solder joint Mechanical and Multi-Physics Simulation and delamination on power device assemblies Experiments in Microelectronics and Microsystems ( EuroSimE ) 2013 du 15 avril au 17 avril 2013, Wroclaw (Pologne), Avril 2013, 4p. A.GALADI, F.MORANCHO, Analysis of breakdown voltage and on- International Meeting on Dielectric Materials ( IMDM M.M.HASSANI resistance in power FLIMOSFET transistors ) 2013 du 29 mai au 31 mai 2013, Marrakech (Maroc), Mai 2013, 7p. A.EL KHADIRY, A.BOURENNANE, A single-chip vertical integration approach International Conference Mixed Design of Integrated F.RICHARDEAU, M.BREIL suitable for medium power Circuits and Systems ( MIXDES ) 2013 du 20 juin switching cells and converters au 22 juin 2013, Gdynia (Pologne), Juin 2013, 5p. MAI13272 G.TOULON, A.BOURENNANE, K.ISOIRD Analysis in commutation of a new high voltage International Conference Mixed Design of Integrated thyristor structure for Circuits and Systems ( MIXDES ) 2013 du 20 juin high temperature au 22 juin 2013, Gdynia (Pologne), Juin 2013, 5p. MAI13273 E.MARCAULT, J.L.MASSOL, P.TOUNSI, J.M.DORKEL Distributed electrothermal methodology for MOS gated power devices simulations MAI13285 A.EL KHADIRY, F.RICHARDEAU, A.BOURENNANE, D.ZHIFENG, M.BREIL MAI13300 J.M.DILHAC, R.MONTHEARD, M.BAFLEUR, V.BOITIER, P.DURAND ESTEBE, P.TOUNSI T.AZOUI, P.TOUNSI, J.M.DORKEL, J.M.REYNES, J.L.MASSOL, E.POMES Multi-switch Si-chip structures and on-substrate European Conference on Power Electronics and packaging techniques for improving the Applications ( EPE ) 2013 du 03 septembre au 05 electrical performance of power modules septembre 2013, Lille (France), Septembre 2013, 7p. Implementation of thermoelectric generators in International Conference on Thermoelectrics ( ICT ) airliners for powering battery-free wireless 2013 du 30 juin au 04 juillet 2013, Kobe (Japon), sensor networks Juin 2013, 14p. Estimation of power MOSFET junction European Symposium on the Reliability of Electron temperature during avalanche mode: Devices, Failure Physics and Analysis ( ESREF ) experimental tests and modelling 2013 du 30 septembre au 04 octobre 2013, Arcachon (France), Septembre 2013, 6p. Impact of circuit load on the ageing made of IEEE International Conference on Thermal, VDMOS chips using 3D FEM electro-thermal Mechanical and Multi-Physics Simulation and modelling Experiments in Microelectronics and Microsystems ( EuroSimE ) 2013 du 15 avril au 17 avril 2013, Wroclaw (Pologne), Avril 2013, 4p. Towards energy autonomy of wireless sensors IEEE International Conference on Internet of Things in aeronautics applications: SMARTER International Workshop on energy and Wireless collaborative project Sensors ( iThings - e-wise ) 2013 du 20 août au 23 août 2013, Beijing (Chine), Août 2013, pp.1668-1672 MAI13304 MAI13305 E.MARCAULT, J.L.MASSOL, P.TOUNSI, J.M.DORKEL MAI13341 M.BAFLEUR, J.M.DILHAC MAI13368 H.ARBESS, K.ISOIRD, S.HAMADY New termination architecture diamond schottky diode for modeling International Conference Mixed Design of Integrated Circuits and Systems ( MIXDES ) 2013 du 20 juin au 22 juin 2013, Gdynia (Pologne), Juin 2013, 5p. 1700 Scientific Production — 204 V European Conference on Power Electronics and Applications ( EPE ) 2013 du 03 septembre au 05 septembre 2013, Lille (France), Septembre 2013, 8p. MAI13369 MAI13416 MAI13440 MAI13442 MAI13582 MAI13604 MAI13606 MAI13681 MAI13682 MAI13685 MAI13691 MAI13692 MAI13722 MAI13746 MAI13747 MAI13779 MAI13792 MAI14088 MAI14225 S.HAMADY, F.MORANCHO, B.BEYDOUN, P.AUSTIN, M.GAVELLE A new concept of enhanced-mode GaN HEMT European Conference on Power Electronics and using fluorine implantation in the GaN layer Applications ( EPE ) 2013 du 03 septembre au 05 septembre 2013, Lille (France), Septembre 2013, 6p. H.ARBESS, K.ISOIRD Field plate termination for high voltage diamond International Conference on Microelectronics ( ICM ) Schottky diode 2013 du 15 décembre au 18 décembre 2013, Beyrouth (Liban), Décembre 2013, pp.25-28 V.BOITIER, P.DURAND ESTEBE, Under voltage lock-out design rules for proper International Conference on Micro and R.MONTHEARD, M.BAFLEUR, start-up of energy autonomous systems Nanotechnology for Power Generation and Energy J.M.DILHAC powered by supercapacitors Conversion Applications ( PowerMEMS ) 2013 du 03 décembre au 06 décembre 2013, Londres (UK), Décembre 2013, 6p. T.Y.MNGUYEN, T.LOPEZ, Soft ferrite cores characterization for integrated International Conference on Micro and J.P.LAUR, D.BOURRIER, micro-inductors Nanotechnology for Power Generation and Energy S.CHARLOT, Z.VALDEZ NAVA, Conversion Applications ( PowerMEMS ) 2013 du V.BLEY, C.COMBETTES, 03 décembre au 06 décembre 2013, Londres (UK), M.BRUNET Décembre 2013, 5p. T.T.MDINH, D.PECH, M.BRUNET, High resolution electrochemical micro-capacitors International Conference on Micro and A.ACHOUR based on oxidized multi-walled carbon Nanotechnology for Power Generation and Energy nanotubes Conversion Applications ( PowerMEMS ) 2013 du 03 décembre au 06 décembre 2013, Londres (UK), Décembre 2013, 6p. T.T.MDINH, K.ARMSTRONG, All-solid-state ruthenium oxide electrochemical MRS Spring Meeting 2013 du 01 avril au 05 avril D.PECH, M.BRUNET, J.GAUDET, micro-capacitors 2013, San Francisco (USA), Avril 2013, 1p. D.GUAY T.T.MDINH, D.PECH, M.BRUNET, High resolution all-solid-state ruthenium oxide International Symposium on Enhanced K.ARMSTRONG, J.GAUDET, electrochemical micro-capacitor for energy Electrochemical Capacitors ( ISEECap ) 2013 du 03 D.GUAY storage juin au 07 juin 2013, Taormina (Italie), Juin 2013, 1p. T.MEYNARD, B.COUGO FRANCA, J.BRANDELERO Design of differential mode filters for two-level International Workshop IEEE Electronics, Control, and multicell converters Measurement, Signals and their application to Mechatronics ( ECMSM ) 2013 du 24 juin au 26 juin 2013, Toulouse (France), Juin 2013, 6p. B.COUGO FRANCA, Accurate switching energy estimation of parallel IEEE Workshop on Wide Bandgap Power Devices H.SCHNEIDER, J.BRANDELERO, eGaN FETs for modern aircraft applications and Applications ( WIPDA ) 2013 du 27 octobre au T.MEYNARD 29 octobre 2013, Columbus (USA), Octobre 2013, 4p. B.COUGO FRANCA, Accurate switching energy estimation of wide European Conference on Power Electronics and H.SCHNEIDER, T.MEYNARD bandgap devices used in converters for aircraft Applications ( EPE ) 2013 du 03 septembre au 05 applications septembre 2013, Lille (France), Septembre 2013, 10p. S.BEN DHIA, A.BOYER Electro-magnetic robustness of integrated International Workshop on Electromagnetic circuits: from statement to prediction Compatibility of Integrated Circuits ( EMC Compo ) 2013 du 15 décembre au 18 décembre 2013, Nara (Japon), Décembre 2013, pp.208-213 A.BOYER, S.BEN DHIA Characterization and modeling of electrical International Workshop on Electromagnetic stresses on digital int