Etude de caractérisation de matériaux diélectriques de grille à forte

Transcription

Etude de caractérisation de matériaux diélectriques de grille à forte
N° d’ordre 03 ISAL
Année 2003
THESE
Présentée devant
L’INSTITUT NATIONAL DES SCIENCES APPLIQUEES DE LYON
Pour obtenir
LE GRADE DE DOCTEUR
Ecole doctorale : Electronique, Electrotechnique, Automatique
Spécialité : Dispositifs de l’Electronique Intégrée
Par
Youjean CHANG
ETUDE DE CARACTERISATION DE MATERIAUX DIELECTRIQUES
DE GRILLE A FORTE PERMITTIVITE POUR LES TECHNOLOGIES
CMOS ULTIMES
Soutenue publiquement le 21 juillet 2003 devant la commission d’examen :
Jury MM.
Rapporteur
Examinateur
Jean-Luc AUTRAN, Professeur, L2MP, Université Aix-Marseille I
Catherine DUBOURDIEU, CR CNRS, HDR, INPG-ENSPG
Gérard GUILLOT, Professeur, LPM, INSA de Lyon
Guy HOLLINGER, DR CNRS, Ecole Centrale de Lyon
François MARTIN, Ingénieur CEA
Frédérique DUCROQUET, CR CNRS, HDR, LPM, INSA de LYON
Cette thèse a été préparée au Laboratoire de Physique de la Matière de l’INSA de LYON
CHANG Youjean - Thèse
CHANG Youjean - Thèse
CHANG Youjean - Thèse
CHANG Youjean - Thèse
CHANG Youjean - Thèse
CHANG Youjean - Thèse
Au Seigneur
A mes parents
A ma grand-mère
CHANG Youjean - Thèse
CHANG Youjean - Thèse
Remerciements
Remerciements
Je voudrais dans ces quelques lignes remercier toutes les personnes qui, d’une
manière ou d’autre, ont contribué au bon déroulement de ce travail.
Je tiens d’abord à remercier le professeur Gérard Guillot, directeur du
Laboratoire de Physique de la Matière de l’Institut National des Sciences
Appliquées de Lyon, pour m’avoir accueilli au sein du laboratoire, la confiance et le
soutien qu’il m’accorde au cours de ma thèse.
Je ne remercierais jamais assez Frédérique Ducroquet, ma directrice de thèse.
Elle m’a témoigné son soutien et son aide inconditionnelle durant toutes les années
de ma thèse jusqu'au dernier moment. Sa philosophie et son enthousiasme pour la
recherche m’ont montré un modèle de chercheur et appris beaucoup tant au niveau
scientifique qu’au niveau humain.
Que le professeur Jean-Luc Autran et Catherine Dubourdieu trouvent dans ces
lignes l’expression de mes sincères remerciements pour avoir accepté d’être les
rapporteurs de ce travail et de faire partie des membres du jury.
J’exprime toute ma reconnaissance au professeur Guy Hollinger et François
Martin pour avoir si spontanément accepté de faire partie des membres du jury.
J’exprime toute ma gratitude aux Jean-François Damlencourt, Laurent Gosset,
Olivier Renault et François Martin du CEA/LETI qui ont fournit les échantillons
d’Al 2 O3 et HfO2 ainsi que les analyses physico-chimiques très précieuses. Leur
compétence scientifique et leur collaboration sympathique m’ont permis de
compléter mes travaux sur les caractérisations de ces matériaux. Je remercie
également les doctorants de CEA/LETI : Olivier Weber pour les remarques et
corrections du manuscrit et Xavier Garros pour le modèle de correction à dispersion
en fréquence.
Je me dois de remercier Catherine Dubourdieu, Laurent Auvray et Sandrine
Lhostis du LMGP à l’INPG qui ont élaboré les échantillons de SrTiO3 et aussi
réalisé les caractérisations physico-chimiques. Grâce à leur aide scientifique et leur
sympathie ce travail a pu être complèt.
Je suis particulièrement redevable envers Julien Legrand, post-doctorant au
LPM qui m’a aidé dans tous les moments difficiles tant au niveau scientifique qu’au
niveau humain.
CHANG Youjean - Thèse
9
Remerciements
Je remercie les permanents de l’équipe ‘Microélectronique sur Silicium’,
Alain Poncet, Abdelkader Souifi, Carole Plossu, Liviu Militaru ainsi que les postdoctorants ; Christophe Busseret, Philippe Ferrandis, Nicolas Baboux pour leurs
conseils scientifiques et leur gentillesse.
Je remercie chaleureusement Abel Sibaï pour les analyses FTIR et Eric
Gautier et Vali Dashtizadeh pour les analyses HRTEM fructueuses.
Je tiens à remercier Joëlle Grégoire, Evelyne Thomas, Manuel Berenguer,
Philippe Girard pour leur aide technique et leur gentillesse lors des manipulations.
Je tiens à remercier tous les doctorants, et particulièrement ceux avec qui j’ai
partagé le bureau ; Silvia Croci (ancienne doctorante), Jérémy Raoult, Stéphane
Burignat, Arnaud Beaumont. Leurs conseils scientifiques et surtout leur présence
amicale m’ont entouré d’une ambiance de travail très chaleureuse. Je leur souhaite
tous de nombreuses réussites.
Je remercie Martin Rojas, Patricia Combier, Séverine Gourden, Claude
Plantier pour leur gentillesse et leur efficacité dans les travaux administratifs.
Un grand merci à Jean-Pierre Vallard et Yorrick Payzac pour leur compétence
informatique et leur sympathie.
J’exprime toute ma gratitude au EGIDE (Centre Français pour l’Accueil et les
Echanges Internationaux) qui m’a financé jusqu’à la fin de ma thèse.
Enfin, je dédie ce travail à mes parents et à ma grand-mère qui m’ont
soutenus et encouragés durant toutes années de ce travail malgré la distance qui
nous sépare.
CHANG Youjean - Thèse
10
Sommaire
Sommaire
Tables des abréviations et des symboles ...................................... 16
INTRODUCTION GENERALE .................................................. 19
Evolution de la microélectronique .................................................................................20
Contenu du manuscrit ....................................................................................................22
CHAPITRE 1 ................................................................................ 25
Introduction : limite de SiO2 et les matériaux high-κ ................. 26
1.1
Introduction............................................................................................................26
1.2
Limite de SiO 2 ........................................................................................................26
1.2.1
Diminution de l’épaisseur de l’oxyde de grille SiO2 ..........................................................28
1.2.2
Positionnement du problème .............................................................................................29
1.2.2-1 Courant de fuite..........................................................................................................30
1.2.2-2 Limite de scaling de SiO2 ............................................................................................31
1.3
Matériaux à haute permittivité ..............................................................................32
1.3.1
Propriétés requises............................................................................................................33
1.3.1-1 Choix de la constante diélectrique ..............................................................................34
1.3.1-2 Bande interdite et discontinuités de bande ..................................................................36
1.3.1-3 Propriétés d’interface high-κ/Si (SiO2 ) .......................................................................37
1.3.1-4 Compatibilité des high-κ ............................................................................................41
1.4
Etat de l’art des matériaux high-κ.........................................................................42
1.4.1
Fabrication des matériaux high-κ ......................................................................................43
1.4.2
Résultats physico-chimiques des matériaux high-κ ...........................................................46
1.4.2-1 Stabilité thermique des diélectriques et couche interfaciale ........................................46
1.4.2-2 Solutions pour améliorer la stabilité thermique ..........................................................49
1.4.3
Résultats électriques sur les matériaux high-κ...................................................................51
CHANG Youjean - Thèse
11
Sommaire
1.4.3-1 Mesure de la hauteur de barrière................................................................................51
1.4.3-2 Courant de grille- influence de la cristallisation.........................................................52
1.4.3-3 Caractérisation capacité-tension - densité d'états d'interface, charges et pièges dans
l'oxyde....................................................................................................................................56
1.4.4
1.5
Intégration des high-κ dans les dispositifs.........................................................................58
Conclusions.............................................................................................................61
CHAPITRE 2 ................................................................................ 63
Elaboration des échantillons et techniques de caractérisation ... 64
2.1
Introduction............................................................................................................64
2.2
Préparation des échantillons..................................................................................64
2.2.1
Elaboration des couches diélectriques Al2 O3 et HfO2 par ALD..........................................64
2.2.1-1 Généralités sur le dépôt en phase vapeur par couche atomique ..................................64
2.2.2
2.2.1-1-1 Description des conditions de dépôt des couches d’Al2O 3
66
2.2.1-1-2 Description des conditions de dépôt des couches d’HfO 2
68
Dépôt chimique en phase vapeur aux organo-métalliques à injection.................................68
2.2.2-1 Description des conditions du dépôt des couches de SrTiO3 ........................................69
2.2.3
Traitements de surface effectués avant le dépôt : préparation du substrat ..........................71
2.2.3-1 Substrat désoxydé par une solution de HF ..................................................................71
2.2.3-2 Substrat oxydé par une couche de SiO2 .......................................................................71
2.2.2-2-1 Oxyde thermique
72
2.2.2-2-2 Oxyde chimique
72
2.2.3-3 Impact sur la croissance d’Al2 O3 ................................................................................72
2.2.3-3-1 Croissance d’Al2O 3 sur le substrat Si HF-last
72
2.2.3-3-2 Croissance d’Al2O 3 sur une couche SiO 2
74
2.2.4
Traitements thermiques post dépôt....................................................................................74
2.2.5
Etape ultime de métallisation ............................................................................................75
2.3
Techniques de caractérisation physico-chimiques et morphologiques .................75
2.3.1
Analyse par diffraction des rayons X (XRD).....................................................................75
2.3.2
Spectroscopie infrarouge à transformée de Fourier (FTIR) ................................................76
2.3.3
Microscopie électronique en transmission à haute résolution (HRTEM) ............................76
2.3.4
Spectroscopie de photoélectrons de rayons X (XPS) .........................................................77
2.3.5
Spectroscopie Auger (AES) ..............................................................................................78
CHANG Youjean - Thèse
12
Sommaire
2.3.6
Analyse par détection des atomes de recul (ERDA) ..........................................................78
2.3.7
Spectroscopie de masse des ions secondaires (SIMS)........................................................78
2.3.8
Microscopie à force atomique (AFM) ...............................................................................79
2.4
Techniques de caractérisation électrique ..............................................................79
2.4.1
Bille de mercure ...............................................................................................................79
2.4.2
Système sous pointes ........................................................................................................84
2.4.2-1 Bancs de manipulation ...............................................................................................84
2.4.2-2 Comparaison des résultats C-V mesurés par sonde de mercure et sous-pointe ............84
2.5
Conclusions.............................................................................................................85
CHAPITRE 3 ................................................................................ 87
Al2O3 diélectrique à permittivité modeste ................................... 88
3.1
Introduction............................................................................................................88
3.2
Propriétés physico-chimiques ................................................................................89
3.2.1
Couches épaisses d’Al2 O3 (140 cycles d’ALD, ~12 nm)....................................................89
3.2.1-1 Structures des couches d’Al 2 O3 par XRD, FTIR, HRTEM ............................................89
3.2.2-1-1
XRD
89
3.2.2-1-2
FTIR
90
3.2.2-1-3
HRTEM
92
3.2.1-2 Analyse de la composition des couches d'Al2 O3 par SIMS et ERDA .............................94
3.2.2
Couches minces d’Al 2 O3 (50 cycles ALD, ~4 nm).............................................................97
3.2.2-1 Structures des couches d’Al 2 O3 par HRTEM ...............................................................97
3.2.2-2 Analyse de la couche interfaciale par XPS et AES.....................................................100
3.3
3.2.2-2-1
Propriétés des couches d’Al2O 3 épaisses
100
3.2.2-2-2
Composition de la couche interfaciale
101
3.2.2-2-3
Etude de la re-croissance de la couche interfaciale
105
Propriétés électriques........................................................................................... 108
3.3.1
Courant de grille.............................................................................................................108
3.3.1-1 Courant de fuite sur les couches d'Al2O3 de 12 nm ....................................................109
3.3.1-1-1
Influence des conditions de recuit sur surface HF-last
109
3.3.1-1-2
Influence de la préparation de surface
110
3.3.1.1.3
Influence des recuits rapides à haute température
111
3.3.1-2 Courant de fuite sur les couches minces d'Al2 O3 de 4 nm ..........................................112
CHANG Youjean - Thèse
13
Sommaire
3.3.1-2-1
Influence des conditions de recuits sur surface HF-last
112
3.3.1-2-2
Influence de la préparation de surface
114
3.3.1-2-3
Comparaison des différents types de substrats
115
3.3.1-3 Mécanisme de conduction .........................................................................................117
3.3.2
Caractérisation C-V ........................................................................................................119
3.3.2-1 Caractéristiques C-V ................................................................................................119
3.3.2-2 Extraction des paramètres ........................................................................................122
3.4
3.3.2-2-1
Décalage de la tension de bande plate et charges dans l’oxyde
122
3.3.2-2-2
Effet de stretching sur les caractéristiques C-V
125
3.3.2-2-3
Evolution de l'EOT et détermination de la couche interfaciale
127
3.3.2-2-4
Densité d’états d’interface
132
Conclusions........................................................................................................... 136
CHAPITRE 4 .............................................................................. 137
HfO2 diélectrique à haute permittivité ...................................... 138
4.1
Introduction.......................................................................................................... 138
4.2
Etudes par technique pulvérisation ionique ........................................................ 139
4.3
Propriétés physico-chimiques d’HfO2 déposé par ALD...................................... 143
4.3.1
HRTEM..........................................................................................................................143
4.3.2
XPS ................................................................................................................................144
4.3
Propriétés électriques d'HfO2 déposé par ALD .................................................. 146
4.3.1
Caractérisations I-V........................................................................................................146
4.3.2
Caractérisations C-V.......................................................................................................149
4.3.2-1 Caractérisations C-V à température ambiante ..........................................................149
4.3.2-2 Caractérisations à température variable (80-450K) ..................................................152
4.4
Conclusions........................................................................................................... 153
CHAPITRE 5 .............................................................................. 155
SrTiO3 diélectrique à très haute permittivité ............................ 156
5.1
Introduction.......................................................................................................... 156
CHANG Youjean - Thèse
14
Sommaire
5.2
Etude de SrTiO3 élaboré avec des précurseurs mélangés standards :
Sr(thd)2triglyme+Ti(OiPr)2(thd)2 ................................................................................. 157
5.2.1
Influence des paramètres de dépôt sur les propriétés de films de SrTiO3 /Si : ...................157
5.2.1-1 Influence de la température de dépôt ........................................................................157
5.2.1-2 Influence de l’épaisseur des films .............................................................................159
5.2.1-3 Influence de la concentration....................................................................................162
5.2.1-4 Influence de la composition du film –Etude des couches minces................................164
5.2.2
Effets de recuit ...............................................................................................................167
Etude avec un nouveau précurseur bimétallique Sr2Ti2(thd)4Ti ........................ 169
5.3
5.3.1
Etude en fonction de l’épaisseur du film de SrTiO3 déposé .............................................170
5.3.1-1 Propriétés morphologiques .......................................................................................170
5.3.1-1-1
HRTEM
170
5.3.1-1-2
AFM
171
5.3.1-1-3
XRD
172
5.3.1-2 Propriétés électriques...............................................................................................173
5.3.2
Etude en fonction de la préparation de surface ................................................................177
5.3.2-1 Propriétés physico-chimiques ...................................................................................177
5.3.2-2 Propriétés électriques...............................................................................................178
5.4
Conclusions........................................................................................................... 180
CONCLUSION GENERALE ..................................................... 181
Conclusion générale.................................................................... 182
Résultats acquis ............................................................................................................ 182
Perspectives de ce travail.............................................................................................. 183
Références bibliographiques ...................................................... 185
Annexe A .................................................................................... 205
Annexe B .................................................................................... 208
Annexe C .................................................................................... 209
Annexe D .................................................................................... 211
CHANG Youjean - Thèse
15
Tables des abréviations et des symboles
Tables des abréviations et des symboles
Techniques de fabrication
ALCVD
Atomic Layer Chemical Vapor Deposition
ALD
Atomic Layer Deposition
ALE
Atomic Layer Epitaxy
CVD
Chemical Vapor Deposition
ECR PECVD
Electron Cycltron Resonance Plasma Enhanced Chemical Vapour
Deposition
JVD
Jet Vapor Deposition
IBS
Ion Beam Sputtering
LPCVD
MBE
Low Pressure Chemical Vapour Deposition
Molecular Beam Epitaxy
MOCVD
Metal-Organic Chemical Vapour Deposition
PECVD
Plasma Enhanced Chemical Vapour Deposition
PLD
Pulsed Laser Deposition
PMA
Post Metalization Annealing
PVD
Physical Vapour Deposition
RPCVD
RTA
RT LPCVD
RTP
Remote Plasma Chemical Vapor Deposition
Rapid Thermal Annealing
Rapid Thermal Low Pressure Chemical Vapour Deposition
Rapid Thermal Processing
Techniques de caractérisation
AES
Auger Electron Spectroscopy
AFM
Atomic Force Microscopy
C-V
Catactéristiques capacité-tension
EELS
Electron Energy Loss Spectroscopy
ERDA
Elastic Recoil Detection Analysis
FTIR
Fourier Transform InfraRed sepctrocsopy
G-V
Catactéristiques conductance-tension
HRTEM
I-V
High Resolution Transimission Electron Microscopy
Caractéristiques courant-tension
CHANG Youjean - Thèse
16
Tables des abréviations et des symboles
NRA
Nuclear Reaction Analysis
RBS
Rutherford Backscattering Spectroscopy
SIMS
Secondary Ion Mass Spectroscopy
STEM
Scanning Transmission Electron Microscopy
TEM
Transimission Electron Microscopy
XPS
X-ray Photoelectron Spectroscopy
XRD
X-ray Diffraction
Liste des symboles
a
cm
Distance entre sites de piége
CET
cm
Capacitance Equivalent Thickness
d
cm
Epaisseur d’une couche d’oxyde
-1
cm
-2
D it
eV
E
V cm -1
Champ électrique
Densité d’états d’interface
EOT
cm
Equivalent Oxide Thickness
Ec
eV
Energie du niveau le plus bas de la bande de conduction
∆E c
eV
Discontinuité de bande de conduction
EF
eV
Energie du niveau de Fermi dans le semi-conducteur
Ei
eV
Niveau d’énergie intrinsèque dans le semi-conducteur
ET
eV
Niveau d’énergie d’un piége
Ev
eV
Energie du niveau le plus haut de la bande de valence
∆E v
eV
Discontinuité de bande de valence
f
Hz
Fréquence
ID
A
Courant drain-source
Ig
A
Courant de grille
-2
Jg
A cm
κ
⎯
Constante diélectrique d’un matériau
Densité de courant de grille
κeffective
⎯
Constante diélectrique globale d’un empilement de plusieurs
diélectriques
κhigh-κ
⎯
Constante diélectrique d’un matériau high-κ
κSiO2
⎯
Constante diélectrique de SiO2
L
cm
Longueur de canal
m*
g
Masse effective d’un porteur
ms*
g
Masse effective des électrons dans SiO2
N
⎯
Indice de réfraction
Nt*
cm -3
Densité des électrons piégés (conduction hopping)
cm
-2
Charge fixe
cm
-2
Charge dans l’oxyde
S
cm
2
T
K
Qf
Q ox
CHANG Youjean - Thèse
Surface d’une capacité
Température
17
Tables des abréviations et des symboles
t high-κ
cm
Epaisseur d’une couche de high-κ
t SiO2
cm
Epaisseur d’une couche de SiO2
V
V
Tension
V DS
V
Tension drain-source
Vg
V
Tension de grille (entre la grille et le substrat)
VT
V
Tension de seuil
W
M
Largeur de canal
βs
J cm 1/2 V -1/2
Constante de Schottky
β PF
J cm 1/2 V -1/2
Constante de Poole-Frenkel
-1
Γs
s
Fréquence de saut d’un site à l’autre (conduction hopping)
ε
⎯
φ0
eV
Hauteur de barrière
φm
eV
Travail de sortie d’un métal
φms
eV
Différence des travaux de sortie métal-semi-conducteur
φt
eV
Hauteur de barrière des pièges (Ec -E T )
λ
cm
Longueur d’onde
Permittivité d’un matériau (=ε 0 x κ)
Constantes physiques
Eg
1,12 V
Bande interdite de Si
-19
eV
1,60x10
J
h
6,63x10 -34 J s
Constante de Planck
kB
1,38x10 -23 J K -1
Constante de Boltzmann
k B T/q
0,0259 V (T=300K)
Voltage thermique
m0
9,11x10-28 g
Masse de l’électron au repos
q
1,60x10 -19 C
Charge élémentaire
α*
eV -1/2 nm -1
10(m*/m 0 ) 1/2
ε0
8,85x10-14 F cm -1
Permittivité du vide
κSiO2
3,9
Constante diélectrique de SiO 2
χ Si
4,05 eV
Affinité d’électron de Si
CHANG Youjean - Thèse
Electron volt
18
Introduction générale
CHANG Youjean - Thèse
Introduction générale
Evolution de la microélectronique
Gate Length (nm)
Depuis l’invention du circuit intégré en 1959, l'industrie des semi-conducteurs
n’a cessé de faire évoluer la technologie en augmentant constamment la densité et
les performances des composants. Cela est rendu possible par la diminution de la
taille des transistors, qui permet de mettre un plus grand nombre de transistors sur
une même surface tout en améliorant leurs performances. Historiquement, une
nouvelle génération technologique (appelée aussi « technology node ») apparaissait
tous les trois ans, aujourd’hui le rythme s'est accéléré avec une nouvelle génération
tous les deux ans. La prédiction de l’édition 2002 Update de l'« International
Technology Roadmap for Semiconductors (ITRS) » éditée par « Semiconductor
Industry Association (SIA) » montre qu'en 2016, la longueur de grille physique de
MPU sera de 9 nm (Figure 0. 1 ).
Figure 0. 1 Tendance actuelle de la "feuille de route" sur longeur de grille prévue par ITRS
à l’année 1999 et 2001 (d’après [SIA2002Update])
Lorsque la longueur de grille diminue, l’épaisseur du diélectrique de grille
doit aussi diminuer en proportion. Mais en-deçà de ~1 nm de SiO 2 , un courant de
fuite par effet tunnel trop élevé et un claquage du diélectrique rapide conduisent à
des performances du transistor inacceptables. Malgré les efforts divers pour
allonger la vie de SiO2 , l’accord semble unanime pour considérer qu’un nouveau
matériau de diélectrique de grille sera nécessaire dès les prochaines générations,
c'est-à-dire à échéance de quelques ans (environ 2007) [SIA2002Update]. Alors il
devient tout à fait urgent de trouver un matériau alternatif en remplacement de SiO2
susceptible de satisfaire toutes les conditions requises pour le diélectrique de grille.
La condition préalable est une constante diélectrique (κ, autrement dit la
CHANG Youjean - Thèse
20
Introduction générale
permittivité relative) plus élevée que SiO2 . Plus la constante diélectrique des
matériaux est grande, plus le diélectrique de grille pourra être épais, c'est-à-dire
potentiellement intégrable dans une génération technologique de plus long terme.
Selon leur constante diélectrique, on peut grouper des matériaux candidats en trois
classes : modeste-κ, high-κ (ou medium-κ) et very high-κ (ou high-κ), qui sont
résumés dans le Tableau 0. 1.
Classe
Matériaux
modeste-κ (5-10)
Si 3 N 4 , Al 2 O 3
high-κ (10-50)
ZrO2 , HfO 2 , ZrSiO 4 , HfSiO 4 , Y 2 O 3 , La 2 O 3 , Gd2 O 3 , Ta 2 O 5 etc
very high-κ (>50)
TiO 2 , SrTiO 3 , (Ba,Sr)TiO3
Tableau 0. 1 Classement de matériaux high-κ (en gras pour les matériaux à étudier pour
cette thèse)
Parmi les matériaux candidats, Al2 O3 (modeste-κ), HfO2 (high-κ) et SrTiO3
(very high-κ) sont parmi les plus prometteurs représentants de solutions potentielles
à respectivement court, moyen et long terme. Le principal enjeu de cette intégration
est d’atteindre des épaisseurs équivalentes d’oxyde (EOT) inférieures à 1 nm tout en
maintenant des courants de fuite acceptables pour les applications envisagées. Les
points bloquants se situent dans la compatibilité technologique de ces matériaux,
leur stabilité thermodynamique, la maîtrise de la couche interfaciale et sa qualité
électrique. Au vu de ces problématiques, les axes principaux développés dans cette
thèse sont les suivants :
•
•
•
•
Etude des propriétés électriques des matériaux et leur corrélation avec les
propriétés physico-chimiques
Etude de l’interface entre l’oxyde et le Si
Effets des recuits sur les propriétés électriques
Etude en température pour déterminer les mécanismes de conduction
La partie principale de ce travail a concerné Al2 O3 , qui est intéressant grâce à
sa stabilité thermodynamique et sa maturité acquise par son utilisation dans diverses
applications de la microélectronique. En outre les résultats obtenus sur ce matériau
nous serviront de base pour les caractérisations de matériaux plus innovants : HfO2
et SrTiO3 .
CHANG Youjean - Thèse
21
Introduction générale
Contenu du manuscrit
L’objectif de cette étude est la caractérisation électrique et physico-chimique
de trois matériaux à forte permittivité (Al2 O3 , HfO2 , SrTiO3 ) en adoptant les
techniques de dépôt innovantes et applicables à l’industrie : dépôt en phase vapeur
par couche atomique (ALD) et aux organo-métalliques à injection (MOCVD).
Nos travaux ont été réalisés au Laboratoire de Physique de la Matière de
l’INSA de Lyon, en collaboration avec le CEA-LETI à Grenoble dans le cadre du
programme Région Rhône-Alpes « Nanodiel » et du projet RMNT « Kappa » pour
Al2 O3 et HfO2 . Quant aux échantillons SrTiO3 la collaboration a été effectuée avec
le Laboratoire des Matériaux et du Génie Physique (LMGP) de l’INPG. Ce
manuscrit est divisé en cinq chapitres.
Le premier chapitre est une revue actuelle sur les matériaux à haute
permittivité. Dans la première partie, nous exposons la problématique : pourquoi les
matériaux à haute permittivité sont nécessaires pour la technologie CMOS ainsi que
les problèmes liés de la réduction de l’épaisseur de SiO 2 . Puis les matériaux
candidats au remplacement de SiO2 sont passés en revue ainsi que leurs propriétés.
Dans la deuxième partie, nous présentons un état de l’art sur les matériaux à haute
permittivité. Puis, nous décrivons les différentes méthodes employées pour le dépôt
des matériaux à haute permittivité, leurs avantages et inconvénients, ainsi que les
résultats récents physico-chimiques et électriques des matériaux à haute
permittivité. Enfin, nous donnons quelques exemples d’intégration des matériaux à
haute permittivité dans le transistor à la fin de chapitre.
Le deuxième chapitre est une présentation des techniques d’élaboration et de
caractérisation des matériaux. Les techniques et les conditions de dépôt sont
présentées ainsi que les traitements effectués avant et après le dépôt des
diélectriques. Les techniques de caractérisation physico-chimiques et électriques à
appliquer pour nos travaux sont brièvement décrites. En particulier nous exposons
les problèmes rencontrés avec l'utilisation d'une sonde à mercure.
Dans le troisième chapitre nous présentons les résultats obtenus sur Al 2 O3 .
Nous mettons en évidence la bonne stabilité thermique d’Al2 O3 , qui conserve un
caractère amorphe pour des températures de recuit élevées. Les études physicochimiques et électriques (le changement de microstructure avant et après recuit,
l’analyse de la couche interfaciale, l’extraction de l’épaisseur équivalente d’oxyde,
la densité d'états d’interface et les charges dans l’oxyde, ainsi que le courant de
fuite et sa dépendance en température..) sont menées en fonction des traitements
avant et après dépôt.
CHANG Youjean - Thèse
22
Introduction générale
Le quatrième chapitre concerne HfO2 . Nous présentons principalement les
résultats électriques obtenus sur des couches d’HfO2 déposées par ALD. Quelques
résultats obtenus sur des matériaux élaborés par pulvérisation ionique réalisés au
début de cette étude sont brièvement exposés. Les effets du recuit sur les propriétés
électriques sont discutés en les corrélant aux études physico-chimiques.
Le cinquième et dernier chapitre est consacré à SrTiO 3 déposé sur Si par
MOCVD à injection. Cette étude représente un travail exploratoire original visant à
évaluer les potentialités d’un oxyde cristallin en remplacement de SiO2 . A partir des
études préliminaires menées sur l’optimisation du dépôt au LMGP, nous réalisons
les caractérisations électriques de films de SrTiO3 déposés à l’aide de différents
précurseurs. L’influence de la structure et la stœchiométrie des couches de SrTiO3
sur leurs propriétés électriques est discutée.
CHANG Youjean - Thèse
23
CHANG Youjean - Thèse
Chapitre 1
Introduction: limite de SiO2 et
les matériaux high-κ
CHANG Youjean - Thèse
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
1> Introduction : limite de SiO2 et les
matériaux high-κ
1.1
Introduction
Dans ce premier chapitre nous présentons un état de l’art général sur les
matériaux à haute permittivité. Ce chapitre est composé de deux parties. La
première partie présente les problèmes soulevés aujourd’hui par l'amincissement
continu de l'oxyde de grille et le besoin de remplacer SiO2 dans la
microélectronique. La deuxième partie décrit les matériaux à haute permittivité: la
définition, les propriétés requises pour les candidats potentiels, les résultats récents
au niveau physico-chimiques et électriques, l’intégration des matériaux à haute
permittivité dans le transistor ainsi que les obstacles à surmonter.
1.2
Limite de SiO2
En 1965 Gordon Moore, co-fondateur de Intel présente sa prévision sur le
futur de la microélectronique dans un article devenu célèbre [Moore1965].
L'évolution de la microélectronique serait régi par « la loi de Moore » selon laquelle
les performances des transistors seraient doublées tous les trois ans et le nombre de
transistors sur une puce multiplié par quatre. L'histoire récente a démontré le bien–
fondé de cette prédiction, et on peut supposer que cette loi continuera à être vérifiée
pour une dizaine d’années encore. Cette formidable évolution a été possible par
l’augmentation du nombre de transistors conjuguée à une dimension constante de la
dimension de dispositifs, permettant d'assurer une baisse continue du prix de
transistor chaque année. La Figure 1. 1 montre la miniaturisation de MOSFET
depuis 1970 en suivant la loi de Moore (dans la suite du texte, à côté du terme
« miniaturisation », nous emploierons également la terminologie anglophone
« scaling »).
CHANG Youjean - Thèse
26
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Figure 1. 1 Miniaturisation du MOSFET en suivant la loi de Moore depuis 1970 dans les
lignes de production (d’après [Intel2003])
Depuis 1957, le SiO2 fait office, de façon quasi-incontournable, d’oxyde de
grille dans les dispositifs FET (Field Effect Transistors). Dans la structure du
MOSFET (Figure 1. 2 ) l’oxyde de grille (dans la suite, nous utiliserons
alternativement les termes «oxyde» et « diélectrique ») isole électriquement la grille
et la zone de canal. Le SiO2 possède plusieurs atouts remarquables : 1) sa formation
naturelle avec le Si 2) une faible densité de défauts dans le volume (< 1016 cm-3 ) et à
l’interface Si/SiO2 (< 1011 cm-2 ) 3) une haute résistivité électrique (≥ 1015 Ω cm) 4)
une large bande interdite (9 eV) 5) un excellent champ de claquage diélectrique (>
10 7 V/cm) 6) un point de liquéfaction élevé (1713°C) [Green2001]. On pourrait
dire que l’extraordinaire développement de la microélectronique a été possible
grâce à ces excellentes propriétés de SiO 2 . Mais l’accélération de la diminution de
l’épaisseur de l’oxyde risque dans un proche avenir, de ne plus pouvoir nous faire
profiter des avantages de SiO2 . La très faible épaisseur de SiO2 désormais visée (<
~1 nm) pose de sérieux obstacles que nous discuterons dans le paragraphe suivant.
Le diélectrique de grille est ainsi devenu aujourd'hui un des défis les plus urgents et
difficiles à surmonter pour poursuivre la course à la miniaturisation des dispositifs
microélectroniques.
Figure 1. 2 Structure du MOSFET
CHANG Youjean - Thèse
27
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
1.2.1
Diminution de l’épaisseur de l’oxyde de grille SiO2
L’édition 2001 de l’ITRS [SIA2001] présente le "Roadmap" des
caractéristiques des transistors pour les quinze prochaines années ( Tableau 1. 1).
Dans ce tableau on remarque que le "scaling" des composants s’accompagne d'une
diminution de l’épaisseur de l’oxyde de grille. Celle-ci devrait descendre jusqu’à 4
Å en 2016 pour les composants de haute performance (Tableau 1. 1) !
Année
Technology
node
(nm, DRAM ½ pitch)
Power
high
supply
2001
2004
2007
130
90
65
1,2
1,0
65
37
2010
2013
2016
45
32
22
0,8
0,6
0,5
0,4
25
18
13
9
for
performance
(V)
Physical gate length
MPU/ASIC (nm)
Equivalent
physical
oxide thickness for
high
performance
1,3-1,6
0,9-1,4
0,6-1,1
0,5-0,8
0,4-0,6
1,5x10 1
2,7x10 2
4,0x10 3
1,7x10 4
5,4x10 4
0,4-0,5
(nm)
Gate
leakage
(A/cm 2 )
dielectric
at
for
100°C
high
1,1x10 5
performance
Tableau 1. 1 Paramètres de "scaling" vertical selon l’édition 2001 ITRS (d’après
[SIA2001])
En 1972, Bob Dennard prédit une règle de miniaturisation du MOSFET qui
explique le rapport entre les performances et la taille du transistor. Le concept de
base est le suivant : si l’on maintient le champ électrique constant tout en diminuant
les dimensions du transistor MOSFET, tout autre paramètre de performance
s'améliore. Par exemple, si l’on diminue la longueur de grille du dispositif d’un
facteur 1/λ et réduit la tension d'opération par 1/λ, le temps de réponse du circuit
est également réduit par 1/λ tout en conservant le champ électrique constant. Ainsi,
pour un transistor MOSFET de longueur de grille 250 nm réduit d’un facteur λ=1,4,
la nouvelle longueur de grille est de 180 nm, la tension d’opération chute de 1,8 à
1,3 volts et le temps de réponse du circuit devient 0,7 fois ce qu’il était à 250 nm.
Puisque la fréquence d’opération augmente quand le temps de réponse diminue, la
fréquence d’opération devient 1,43 fois celui qu’il était à 250 nm. Si nous
CHANG Youjean - Thèse
28
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
supposons une fréquence de 800 mégahertz à 250 nm, en théorie pour le procédé
180 nm, celle-ci devrait atteindre 1,1 GHz. L'effet de la diminution des largeurs des
lignes sur la taille du transistor est proportionnel à la largeur des lignes carrées
puisque toutes les dimensions sont réduites. Un transistor de 180 nm devrait donc
attteindre approximativement 50 % de la taille d'un transistor de 250 nm permettant
l’intégration de deux fois plus de transistors sur un IC de même taille.
Afin de produire un transistor plus petit, il n'est pas suffisant de diminuer
simplement la longueur et la largeur physique du transistor, les autres grandeurs
doivent être aussi diminuées en proportion. La diminution de longueurs de grille
exige des espaceurs plus minces XS, des jonctions moins profondes X JE, XJC, et
enfin des oxydes de grille plus minces XG (Figure 1. 3 ).
XS
LG
XG
X JE
X JC
Figure 1. 3 Dimensions du MOSFET pour la miniaturisation physique
Dans le passé, l’épaisseur d’oxyde de grille diminuait de façon linéaire avec la
longueur de grille. Par exemple chez Intel, l’oxyde de grille a diminué depuis plus
de 20 ans en suivant le rapport XG=LG/45. Lorsque LG atteint 70 nm, Xg est donc
inférieur à 2 nm, c'est-à-dire juste 6-7 couches atomiques de SiO2 .
1.2.2
Positionnement du problème
Lorsque l’épaisseur de l’oxyde diminue jusqu'à des valeurs ultimes (< ~1,0
nm), de nombreux problèmes d'ordre physique ou technologiques apparaissent
[Green1999] par exemple : un courant de fuite de grille très élevé lié au passage
des porteurs par effet tunnel direct [Frank2001], [Song2001] la pénétration des
impuretés, en particulier du bore à travers l’oxyde [Krisch1996], [Fair1996] la
réduction du courant drain [Timp1997] la dispersion accrue des dopants dans le
canal, la dégradation de la fiabilité [Stathis2002] etc. Nous allons nous focaliser ici
sur deux de ces problèmes : le courant de fuite élevé et la limite physique de
scaling.
CHANG Youjean - Thèse
29
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
1.2.2-1 Courant de fuite
Le SiO2 , grâce à sa large bande interdite (~ 9 eV) et sa faible densité de
défauts dans le volume présente un faible niveau de courant de fuite (Ig ),
principalement de type Fowler-Nordheim (cf. Annexe A). Mais lorsque l’épaisseur
du film devient inférieure à ~3 nm, le courant est dominé par un mécanisme d'effet
tunnel direct à travers l’oxyde [Depas1995], comme illustré par la Figure 1. 4 .
E C (O X )
-q V O X
Φo
TOX
x
Figure 1. 4 Mécanisme de tunnel direct d’un électron à travers l’oxyde. Φ o est la barrière
d’énergie maximale, V ox chute de potentiel dans l’oxyde, E C(OX) est la bande de conduction
de l’oxyde et T ox est l’épaisseur de l’oxyde. (d’après [Croci2001])
Le courant tunnel direct augmente exponentiellement avec la diminution de
l’épaisseur d’oxyde [Maserjian1974], [Ghibaudo2000]. D'après les travaux de Lo
et al. [Lo1997], le courant augmente de 1x10-7 A/cm2 pour 35 Å SiO2 à 10 A/cm2
pour 15 Å SiO2 à Vg ~1V (Figure 1. 5 ).
Figure 1. 5 Densité de courant de grille
mesurée et simulée pour un nMOSFET
G rille
(d’après [Lo1997])
DRAM
CHANG Youjean - Thèse
30
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
for desktop applications
Figure 1. 6 Augmentation du courant de
grille en fonction de l’épaisseur pour un
nMOSFET de 35 nm de longueur de grille
à 1,5 V (d’après [Green2001])
for portable applications
1 nm
Evidement, cette augmentation du courant exponentiel pose un sérieux
problème sur le fonctionnement des dispositifs CMOS en particulier sur la
dissipation de puissance statique et sur la fiabilité. La Figure 1. 6 montre
l’augmentation du courant de grille en fonction de l’épaisseur de l’oxyde. En
supposant le niveau maximal de courant de grille acceptable (1 A/cm2 pour
l’application PC du bureau et 10-3 A/cm2 pour l’application portable), les épaisseurs
minimales tolérables de SiO2 sont 1,3 et 1,9 nm pour chacune de ces applications,
respectivement. Il est ainsi évident qu’une solution doit être trouvée.
1.2.2-2
Limite de scaling de SiO2
En parallèle à cette limitation liée à l’augmentation du courant de fuite,
d'autres recherches s'intéressent à la question de la limite fondamentale du "scaling"
de SiO2 [Schulz1999]. Parmi les résultats à noter, Muller et al. ont étudié la
composition chimique et la structure électronique de couches de SiO2 de 7-12 Å
d'épaisseur à partir de mesures de spectroscopie électronique à perte d'énergie
(EELS : Electron Energy Loss Spectroscopy) sous STEM (Scanning Transmission
Electron Microscopy) [Muller1999]. Cette technique permet d'atteindre une
résolution de 2 Å. Le profil d’oxygène comprenant la région volumique et la région
interfaciale montre que trois ou quatre monocouches de SiO 2 sont nécessaires pour
obtenir la bande interdite de SiO2 . Sur la base de ces résultats expérimentaux, les
auteurs ont conclu que la limite fondamentale de SiO2 se situerait entre 7 et 12 Å :
en effet, la barrière tunnel de SiO2 se formerait lorsque l’épaisseur de SiO2 est six
fois plus longue que le « decay length » pour l’état évanescent λ(E) de la fonction
d’onde dans SiO2 (6 x λ (0,12 nm) ≅ 0,7 nm - épaisseur minimale absolue), les
quelques Angströms supplémentaires représentant la contribution de l’effet de la
rugosité de l’interface.
CHANG Youjean - Thèse
31
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
De plus, les résultats expérimentaux de Muller et al. sont bien en accord avec
d'autres études théoriques [Tang1998], [Demkov1999], [Neaton2000]. Tang et al.
ont étudié la discontinuité de bande entre SiO2 et Si en fonction de l’épaisseur de
SiO2 et ont déterminé l’épaisseur d’oxyde pour laquelle la hauteur de barrière est
égale à ~3,2 eV, (valeur de la hauteur de barrière à l’interface SiO2 volumique/Si).
Ils ont montré que la discontinuité de bande s’approche de la valeur de saturation
au-delà de ~7 Å de SiO2 et proposent alors cette valeur comme valeur minimale
pour l’oxyde de grille. Une autre étude intéressante sur la structure et l’état
électronique de l’interface Si/SiO2 a été effectuée par Kaneta et al. [Kaneta1999].
Cette étude montre un changement dramatique de la bande interdite de SiO2 dans
une région comprise entre 1 et 4 Å de l’interface. L'ensemble de ces résultats
tendrait à converger vers une limite fondamentale de SiO2 située autour de 7 Å.
Par ailleurs, Timp et al. rapportent que pour une épaisseur de SiO2 inférieure à
10-12 Å, ils n'observent plus d’augmentation du courant de drain c'est-à-dire qu'il
n’y aurait pas de gain sur les performances [Timp1998], [Timp1999],
[Ghani2000].
Il va devenir de plus en plus difficile de maintenir la progression actuelle,
d'autant plus que celle-ci a récemment connu une accélération plus rapide que celle
prédite par la "feuille de route". Les efforts pour continuer le développement de la
technologie comprennent globalement deux orientations : la recherche de nouvelles
architectures de dispositifs et l'introduction de nouveaux matériaux. Ce manuscrit
ne traite pas des solutions à rechercher pour le futur transistor, cependant le lecteur
pourra consulter plusieurs articles concernant ce sujet [Wong2002], [Doyle2002],
[Thompson1998].
1.3
Matériaux à haute permittivité
Compte tenu des problèmes que nous venons d'évoquer dans le paragraphe
précédent, le remplacement de SiO2 par de nouveaux diélectriques apparaît
inéluctable pour les futures générations de CMOS, comme le démontrent plusieurs
articles de revue récents dans la littérature [Osburn2002], [Stathis2002],
[Wallace2002], [Wilk2001], [Green2001], [Kingon2000], [Buchanan1999].
La question qui se pose maintenant est de savoir par quel matériau doit-on
remplacer de SiO2 ? Tout d'abord, il convient de rappeler la définition de la capacité
d'oxyde de grille (Cox ). D'après l'expression, on voit qu'il est possible d'accroître
l'épaisseur d'oxyde tout en gardant une même valeur de capacité, en augmentant la
constante diélectrique du matériau.
CHANG Youjean - Thèse
32
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
C ox =
κ SiO 2 ⋅ ε o ⋅ S
t SiO 2
=
κ high − κ ⋅ ε o ⋅ S
t high − κ
Equation 1. 1
Nous avons donc besoin de matériaux dont la constante diélectrique est supérieure à
celle du SiO2 . On désigne couramment ces matériaux à forte permittivité par le
terme anglais « high-κ». De la formule ci-dessus, nous définirons un paramètre
important, l'EOT (Equivalent Oxide Thickness) défini par l’épaisseur équivalente de
SiO2 qui fournirait la même capacité que celle obtenue avec le matériau high-κ.
⎛ κ SiO 2 ⎞
⎟ t high − κ
EOT = t SiO 2 = ⎜
⎜κ
⎟
⎝ high − κ ⎠
Equation 1. 2
Dans ce travail nous utiliserons le terme EOT de façons générique. Une distinction
peut être trouvée dans littérature entre le terme CET (Capacitance Equivalent
Thickness) qui représente l’épaisseur équivalente d’oxyde directement déduite de
Cox (ici, CET~EOT) et le terme EOT qui représente cette valeur corrigée des effets
quantiques (compte tenu des épaisseurs étudiées dans ce travail les corrections des
effets quantiques n’ont pas été considérées).
Nous allons maintenant nous intéresser aux propriétés que doivent remplir ces
matériaux à forte permittivité et quels sont les candidats potentiels.
1.3.1
Propriétés requises
Les deux principales applications des matériaux diélectriques à forte
permittivité dans les composants sont l’isolant de capacité pour le stockage de
l’information dans les mémoires DRAM (Dynamic Random Acess Memory) et le
diélectrique de grille dans le MOSFET. Les premières recherches sur les matériaux
à forte permittivité datent des années 1990 et ont eu pour cadre les applications
mémoires ; elles ont concerné des matériaux tels que : Ta2 O5 [Chaneliere1998],
[Yun1997a], [Kwon1996], [Takaishi1994], TiO2 [Rausch1992], [Kim1997],
(Ba,Sr)TiO3 [Kotecki1999], [Fukushima1997], Al2 O3 [Park2000b], [Park2000a].
Les études sur les nouveaux diélectriques de grille ont ensuite commencé à partir de
ces matériaux déjà expérimentés, bien que les propriétés requises soient différentes
pour ces deux types d'applications. Il est en effet important que le diélectrique de
grille soit compatible avec le procédé de fabrication du transistor tout en préservant
ses performances [Kingon2000]. Considérant les propriétés quasi-idéales du SiO2 ,
la sélection d’un matériau de remplacement est soumise à des critères sévères et
bien que la liste des diélectriques à forte permittivité soit longue, celle des
CHANG Youjean - Thèse
33
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
candidats potentiels qui ont une chance réelle de déboucher est finalement assez
réduite.
Les propriétés essentielles à considérer pour l’oxyde de grille sont les
suivantes : 1) la valeur de la permittivité 2) la structure de bande 3) la discontinuité
des bandes pour le transport des charges 4) la stabilité thermodynamique 5) la
qualité de l'interface avec le Si 6) la morphologie du film, 7) la compatibilité avec
l’électrode de grille et avec le procédé technologique 8) la fiabilité [Wallace2002].
[Kingon2000]. Les principaux matériaux « high-κ » et leurs propriétés sont
présentés dans le Tableau 1. 2.
High-κ
Constante
Bande
Discontinuité
Réduction
du
Stabilité
diélectrique
interdite
bande
courant
de
thermique par
(κ)
(eV)
conduction
fuite comparée
(eV)
à SiO 2
SiO 2
3,9
9
3,5
Si 3 N 4
7
5,3
2,4
de
rapport à Si
>1050°C
>1050°C
2
3
Al 2 O 3
~10
8,8
2,8
10 -10 x
Ta 2 O 5
25
4,4
0,36
La 2 O 3
~21
6
2,3
Gd 2 O 3
~12
Y2O3
~15
6
2,3
10 4 -10 5 x
HfO 2
~20
6
1,5
10 4 -10 5 x
~1000°C, RTA
Pas
stable
avec Si
ZrO 2
~23
SrTiO 3
~300
(single
5,8
1,4
3,3
-0,1
6
1,5
4
5
10 -10 x
~950°C
~900°C
crystal, at RT)
ZrSiO 4
10-12
HfSiO 4
~10
6
1,5
TiO 2
80-170
3,05
~0
Tableau
1.
2
Propriétés
des
matériaux
high-κ
(d’après
[Hubbard1996],
[Robertson2000], [Yamaguchi2000], [Manchanda2000], [Lucovsky2001c])
1.3.1-1 Choix de la constante diélectrique
Les matériaux high-κ doivent avoir une constante diélectrique plus élevée que
celle de SiO2, la plus élevée possible serait-on tenté de dire. Mais le remplacement
de SiO2 par un matériau de trop grande constante diélectrique peut conduire à une
perte de contrôle du champ d’électrique dans le transistor. Cheng et al. ont simulé
les effets de matériaux high-κ sur les performances du transistor [Cheng1999].
CHANG Youjean - Thèse
34
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Celles-ci se trouvent dégradées avec un matériau à haute constante diélectrique à
cause de l’effet de défocalisation des lignes de champ (« fringing field ») de la
grille vers les régions source/drain (Figure 1. 7 ) ; cet effet s'amplifie lorsque le
rapport épaisseur d’oxyde vs. longueur du canal augmente. Pour réduire cette
dégradation, les auteurs proposent l'utilisation d'un empilement de deux couches
d’oxydes, SiO2 interfacial/high-κ.
Figure 1. 7 Distribution du champ d’électrique en 2 dimensions du transistor de 70 nm
longueur du canal. Les épaisseurs de l’oxyde (κ=3,9) et (κ=50) sont 1,5 nm et 19,2 nm,
respectivement. (d’après [Cheng1999])
La valeur minimale de la constante diélectrique dépend de l’EOT visée et
donc de la génération technologique. Plus l’EOT visée est faible, plus élevée sera la
constante diélectrique recherchée. Ainsi, les matériaux avec une constante
diélectrique modeste (5-10) tels que Si3 N4 et Al2 O3 sont étudiés comme une solution
à court terme (1-2 générations) [SIA2001]. Ces matériaux présentent en outre
l'intérêt de constituer des solutions matures sur le plan technologiques, parce qu’ils
sont étudiés depuis longtemps dans les divers domaines de la microélectronique.
Pour les générations suivantes, les matériaux de constante diélectrique κ
comprise entre 10 et 50 (HfO2 , HfSiO4 , ZrO2 , ZrSiO4 , Y2 O3 , La2 O3 , Gd 2 O3 ) seront
considérés. En particulier HfO2 est un candidat prometteur grâce à une hauteur de
barrière relativement élevée, une bonne stabilité thermique et une capacité à être
élaboré dans des réacteurs industriels dans un délai raisonable. Des propriétés
diélectriques encourageants concernant l’intégration de HfO2 avec une grille Si-poly
[Tavel2002] et avec une grille métallique [Guillaumot2002] ont déjà été présentés.
Les oxydes monocristallins en structure pérovskite, par exemple SrTiO 3 et
BaTiO3 possèdent une constante diélectrique très grande (κ> 300 à température
ambiante) et pourraient être déposés directement sur Si. Leur intérêt pour un
"scaling" à plus long terme est grandissant.
CHANG Youjean - Thèse
35
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
1.3.1-2 Bande interdite et discontinuités de bande
La large bande interdite de SiO2 constitue un atout supplémentaire pour le
transport des porteurs. Outre le passage par effet tunnel, la conduction dans l'oxyde
peut aussi avoir lieu par émission de charges au dessus de la barrière (émission
Schottky) ou par un mécanisme assisté par les défauts (Poole-Frenkel et Hopping)
[Robertson2002]. La plupart des matériaux high-κ ne présentent pas une bande
interdite aussi élevée que SiO2 . En effet la constante diélectrique est globalement
inversement proportionnelle à la bande interdite, comme illustrée dans la Figure 1.
8 . Ainsi, la bande interdite des matériaux high-κ de constante diélectrique ~20 se
situe aux environs de ~6 eV. On peut noter également la faible bande interdite de
Ta2 O5 et TiO2 , matériaux qui, après avoir fait l'objet d'études soutenues, semblent
aujourd'hui en retrait.
10
SiO2
Bande interdite (eV)
Al2O3
8
ZrSiO4
HfSiO4 HfO2La2O3
6
Y2O3
ZrO2
Si3N4
4
Ta2O5
TiO2
2
0
10
20
30
40
50
60
70
80
90
Constante diélectrique (κ)
Figure 1. 8 Constante diélectrique (κ) vs. Bande interdite des oxydes (à base de Tableau
1. 2)
Pour prévenir d’un courant de fuite trop élevé, il faut non seulement un
matériau à large bande interdite mais également un matériaux dont les
discontinuités de bande de conduction (∆Ec) pour un nMOSFET et de valence (∆EV)
pour un pMOSFET aux interfaces soient suffisamment grandes. Il semble qu’une
valeur minimale de ~1 eV pour ∆EC (∆EV) soit requise pour limiter les courants de
fuite [Robertson2002], [Robertson2000]. La Figure 1. 9 montre les discontinuités
de bande calculées pour différents oxydes sur Si. On peut noter le caractère plus
contraignant côté bande de conduction.
CHANG Youjean - Thèse
36
Energie (eV)
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
3,5
2,4
2,8
0,3
- 0,1
0,8
1,4
1,5
3,4
3,3
3,4
2,3
1,5
2,1
3,4
1,9
1,1
Si
4,4
1,8
3,0
2,3
4,9
2,6
LaAlO3
Si3N4
BaTiO3
Ta2O5
BaZrO3
SiO2
ZrO2
Y2O3
La2O3
HfO2
ZrSiO4
HfSiO4
Al2O3
Figure 1. 9 Discontinuités de bande calculées des différents oxydes sur Si (d’après)
[Robertson2002]
Il faut remarquer également que parmi ces matériaux, Al 2 O3 est l'un des rares
dont les valeurs de bande interdite et d'offset de bande sont très similaires à celles
de SiO2 .
1.3.1-3 Propriétés d’interface high-κ/Si (SiO 2 )
Les propriétés d’interface entre le matériau high-κ et le Si sont déterminantes
parce qu’elles influencent directement sur les performances du transistor. Lorsque
l’épaisseur de diélectrique devient inférieure à 1 nm, les interfaces entres les
matériaux peuvent dominer les propriétés chimiques et électriques de l’empilement
de grille [Misra2002]. Les deux points les plus importants à considérer pour l’étude
de l’interface sont les défauts et la stabilité thermique.
Misra, Lucovsky et Parsons de l’Université de Caroline du Nord ont rapporté
des études fondamentales sur les interfaces high-κ/Si ou électrode de grille/high-κ
d'un point de vue physico-chimique [Misra2002], [Lucovsky1999a],
[Lucovsky1999b]. Selon eux, la concentration de défauts plus élevée à l’interface
high-κ/Si est expliquée par la différence de structure électronique des high-κ par
rapport à celle de SiO2 (ou SiN). L’interface entre le high-κ et le Si (ou SiO2 , Si-NO) est hétérovalente, à cause de la différence d’ionicité des liaisons entre les deux
matériaux, c'est-à-dire que le nombre d’électrons disponibles et celui nécessaire
pour former une liaison covalente ne sont pas équilibrés ; cela provoque la
génération de défauts intrinsèques à l’interface en donnant des charges fixes (~10 12
charges/cm2 ) [Misra2002].
CHANG Youjean - Thèse
37
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Concernant la stabilité thermique, la principale difficulté réside dans la
possibilité de réaction entre le Si et le high-κ pendant le dépôt du diélectrique et le
traitement thermique post-dépôt. Les travaux de Hubbard et al. sont les premiers à
proposer l'étude de la stabilité thermodynamique des matériaux avec le Si (Figure 1.
10 ) [Hubbard1996]. En considérant toutes les réactions possibles entre Si et les
matériaux high-κ, ils ont éliminé les matériaux dont l’énergie de formation (∆G) est
négative. Par exemple Ta2 O5 , TiO2 et (Ba,Sr)TiO3 sont instables
thermodynamiquement avec le Si de la température ambiante à 1000°K
[Schlom2002]. Les résultats expérimentaux sont en accord avec cette prévision
théorique de Hubbard et Schlom et mettent en évidence la réaction interfaciale de
Ta2 O5 et TiO2 sous forme d'une couche de silicate [Alers1998], [Mao1999],
[Campbell2000], [Alers1998], [Campbell1997], [Nishioka1987]. C’est d'ailleurs
la raison principale de la disparition aujourd’hui de ces matériaux dans la liste des
candidats pour le diélectrique de grille.
Figure 1. 10 Eléments dont l’oxyde est stable avec le Si sans formation de SiO 2 ou MSi x
(d’après [Green2001], [Hubbard1996])
Il est important de se rendre compte que les matériaux de la Figure 1. 10 ne
sont toutefois pas forcément stables pendant toutes les étapes de process
[Schlom2002]. Les conditions hors équilibre du dépôt peuvent par exemple
produire des réactions inattendues [Misra2002]. Deux mécanismes de la formation
de SiO2 sont proposés par Schlom et al. [Schlom2002]. Premièrement sous une
ambiance d'oxygène en excès, l’oxygène diffuse à travers le diélectrique et oxyde le
silicium. La diffusivité de l’oxygène est élevée dans la plupart des high-κ à
l'exception d'Al2 O3 [Samsonov1982]. Même sous une ambiance N2 ou sous
ultravide, seuls quelques ppm d’oxygène suffissent à faire croître une couche
interfaciale de SiO2 ou de silicate [Yu2002], [Copel2001b]. Les propriétés de la
couche interfaciale dépendent ainsi totalement de l’ambiance du dépôt et du recuit.
Selon les études de Kundu et al. sur les effets de l’ambiance pendant le recuit sur
les propriétés physico-chimiques d'un film d'Al2 O3 , la pression de l’oxygène joue un
CHANG Youjean - Thèse
38
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
rôle très important [Kundu2002b]. L’autre possibilité de la formation d'une couche
interfaciale est que le diélectrique se décompose dans l’environnement et que
l’élément ainsi décomposé réagisse avec le Si. En effet la formation d’une couche
interfaciale (SiO2 ou silicate de high-κ) entre le high-κ et le Si a été observée pour
quasiment tous les matériaux high-κ : Al2 O3 [Klein1999], [Manchanda1998],
[Gusev2000],
[Kolodzey1997a],
Y2O3
[Chambers2000],
SrTiO3
[Eisenbeiser2000], HfO2 [Callegari2001], ZrO2 [Miyazaki2002], [Busch2000],
[Chang2001b], [Watanabe2001a], La2 O3 [Copel2001a], Ta2 O5 [Kim1994].
Cependant il faut aussi remarquer qu'une interface abrupte sans la présence
d'une couche interfaciale sur Si a été observée avec quelques matériaux, en
particulier : Al2 O3 [Gusev2000], [Kundu2002a], [Kundu2001], [Guha2001], Y2 O3
[Busch2001] et SrTiO3 [McKee1998]. Cela nous montre qu'éviter la formation
d'une couche interfaciale entre le high-κ et Si est certes délicat mais maîtrisable en
optimisant les conditions du dépôt et de recuit post-dépôt. Gusev et al. ont montré
un très bel exemple de stabilité thermique d’Al2 O3 à l'aide de trois méthodes haute
résolution, NRP, MEIS et HRTEM [Gusev2000]. La Figure 1. 11 montre l’interface
abrupte d'un film d’Al 2 O3 en limite de résolution HRTEM.
Figure 1. 11 Image de HRTEM d'un film d’Al 2 O 3 déposé sur Si traité HF. La couche d’Al2 O 3
est amorphe et uniforme en formant une interface abrupte (d’après [Gusev2000])
On peut maintenant se demander pourquoi il faut éviter la formation de la
couche interfaciale ? Il est vrai qu'en théorie, la couche interfaciale compromet
l’intérêt du high-κ puisque la constante diélectrique de la couche interfaciale est
plus faible que celle du high-κ et contribue donc à augmenter l’EOT. La Figure 1.
12 illustre l’effet de la couche interfaciale sur l’empilement de diélectrique. Pour
avoir une même EOT de 10 Å, on doit déposer un diélectrique high-κ de
permittivité plus élevée. Outre l'EOT, Wilk et al. soulignent d'autres effets négatifs
introduits par la présence d'une fine couche interfaciale de SiO2 (5 Å) car il est
difficile d’obtenir une bonne qualité de couche avec une telle épaisseur fine de SiO2
[Wilk2001] : la génération de pièges, l’introduction d'un courant tunnel dans le
CHANG Youjean - Thèse
39
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
high-κ et l'incapacité à être une bonne barrière contre la réaction entre le Si et le
high-κ.
grille
30 Å (κ=25)
5 Å (κ=3,9)
grille
High-κ
40 Å (κ=16)
Couche interfaciale
(SiO2)
Si
Si
Figure 1. 12 Comparaison des empilements des diélectriques pour une même épaisseur
d'EOT, 10 Å (d’après [Wilk2001])
Afin de minimiser la couche interfaciale, un traitement de désoxydation
(oxyde natif) de la surface avant dépôt par une solution de HF diluée a été proposé.
Cette surface de Si hydrophobe, passivée par l'hydrogène [Lehmann2002] est
connue pour être stable contre l’oxydation [Hersam2001], [Yablonovitch1986].
Cependant, avec les matériaux high-κ, une couche interfaciale (SiOx ou silicate de
high-κ) s’est formée même sur une surface de Si traitée HF et a continué de croître
pendant le dépôt et le recuit post-dépôt, comme nous l'avons déjà mentionné
précédemment. De plus, les propriétés physico-chimiques et électriques des films
déposées sur une surface traitée HF sont généralement dégradées à cause de la
mauvaise morphologie de l’interface due à une nucléation difficile du diélectrique,
[Green2002], [Gusev2001], [Perkins2001a]. Copel et al. ont observé les
caractéristiques de nucléation de ZrO2 déposé sur différents types de surface
[Copel2000]. Un film de ZrO2 déposé sur une surface de Si traitée HF est non
uniforme et montre de nombreux îlots cristallisés (Figure 1. 13 (b)), ainsi qu'une
couche interfaciale plus rugueuse que celle de ZrO2 déposé sur SiO2 .
L'amélioration des propriétés du diélectrique lorsque qu’il est déposé sur une
fine couche interfaciale de SiO2 (soit présente naturellement, soit formée avant le
diélectrique) ou de SiOx Ny a été rapportée par plusieurs auteurs [Callegari2001],
[Houssa2001a], [Kim2003], [Stemmer2001], [Yang2000]. La couche de SiO2 ou
SiOx Ny réagit comme une barrière contre la diffusion d’éléments métalliques et de
l’oxygène.
CHANG Youjean - Thèse
40
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Figure 1. 13 Images de HRTEM qui montrent les caractéristiques morphologiques de ZrO 2
déposé sur (a) 0,5 nm SiO 2 et (b) Si traitées HF. La flèche indique une zone amorphe au
milieu d'îlots cristallisés. (d’après [Copel2000])
1.3.1-4 Compatibilité des high- κ
La compatibilité du diélectrique avec les étapes ultérieures du procédé de
fabrication est un autre aspect important à prendre en considération lorsque le highκ est réellement intégré dans le transistor, de même que l'impact du matériau high-κ
sur les performances finales du dispositif. Tout d'abord, il est essentiel d'assurer la
stabilité thermique, en prévenant toute réaction entre le diélectrique de grille et les
éléments situés de part et d'autre, le substrat Si et l'électrode de grille. En effet,
l’interface entre la grille et le high-κ est également un point important; dans un
procédé standard à grille Si-poly, le diélectrique doit rester stable après les étapes
de recuit à haute température (~1000°C) nécessaires pour activer les dopants dans
les zones source/drain et ceux dans la grille Si-poly. Une alternative est le
remplacement de la grille Si-poly par une grille métallique [Misra2000] qui dans un
procédé à remplacement de grille permet d'éliminer l’étape d’activation des dopants
vue par le diélectrique; l'utilisation de grilles métalliques présente par ailleurs
l'intérêt de s'affranchir du problème de la déplétion de Si-poly [Huang1993] qui
diminue la capacité totale en inversion. L'ITRS roadmap prévoit que la grille
métallique sera introduite à partir de la génération 70 nm [SIA2001]. Pour
l’intégration, la compatibilité entre le high-κ et la grille métallique doit être
considérée, ce point semble toutefois en faveur du diélectrique high-κ, par rapport
au SiO2 .
Pour valider l'intégration dans le transistor, d'autres éléments sont en prendre
en compte tels que l'impact du high-κ sur les performances du dispositif. En
particulier, il est généralement observé que la mobilité des électrons dans le canal
diminue dans le cas de matériaux high-κ par rapport à SiO2 (Figure 1. 14). Les
CHANG Youjean - Thèse
41
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
causes de cette réduction de mobilité ne sont pas jusqu'à présent clairement
identifiées.
Figure 1. 14 Mobilité effective des highκ
en
comparaison
avec
la
mobilité
universelle (courbe solide). La notation
Si-polygnifie
notation,
grille
grille
Si-poly
Al.
et
sans
(d’après
[Guha2002a])
Un autre paramètre à évaluer concerne la fiabilité du diélectrique high-κ. Les
travaux sur ce sujet sont encore peu nombreux. Cependant, une comparaison
intéressante (Figure 1. 15) des pentes de Weibull β de la distribution du temps au
claquage (time-to-breakdown) pour différents diélectriques : SiO2 , ZrO2 et Al2 O3 a
été proposée récemment par Degraeve et al. [Degraeve2002]. Ces résultats
suggèrent que la fiabilité des matériaux high-κ est dégradée par rapport à celle de
SiO2 et que le claquage est induit par des défauts extrinsèques.
Figure 1. 15 La pente de weibull, β de
ZrO 2 ,
Al 2 O 3
et
SiO 2
avec
les
grilles
différentes en fonction de l’épaisseur de
diélectrique (d’après [Degraeve2002])
1.4
Etat de l’art des matériaux high-κ
Après avoir passé en revue les principaux critères de sélection des matériaux
high-κ en vue de leur intégration dans les dispositifs microélectronique, nous allons
CHANG Youjean - Thèse
42
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
maintenant dresser un état de l'art des matériaux high-κ, en nous intéressant tout
d'abord à leur élaboration et leur caractérisation physico-chimique puis nous
parlerons de leurs propriétés électriques et citerons quelques exemples d'intégration
dans des composants avancés. Dans cette revue bibliographique, nous nous
focaliserons principalement mais sans exclusivité sur les diélectriques sur lesquels a
porté notre travail, à savoir Al2 O3 , HfO2 et SrTiO3 .
Il est d'ailleurs intéressant de constater dans la littérature très récente, un vif
intérêt sur la recherche de nouveaux empilements diélectriques combinant ces
différents matériaux high-κ. En particulier, l'incorporation d'Al dans un matériau à
haute constante diélectrique sous forme d’aluminate ((Al 2 O3 )x (MO2 )1-x
[Besling2002] ou de MAlx Oy, (avec M : Zr, Hf, La) [Morais2001a], [Zhao2002]
semble améliorer la qualité des diélectriques résultants en tirant profit de la bonne
stabilité thermique d’Al2 O3 . Les résultats de Zhu et al. [Zhu2002a] montrent par
exemple, qu'une amélioration des propriétés diélectriques d'un film de HfAlO, telles
que la température de cristallisation, le courant de fuite et la largeur de bande
interdite par rapport à celles de HfO2 sont obtenues lorsqu'un certain pourcentage
d'Al a été introduit dans HfO2 . Dans ce cas, la concentration optimale d’Al est
estimée à 30%.
De même, l'association de high-κ avec SiO2 sous forme de composés
((MO2 )x (SiO2 )1-x (avec M : Zr, Hf) [Ho2002], [Yu2002], [Morais2002], [QuevedoLopez2001] ou de silicates (Mx SiyOz) ont également prouvé leur intérêt soit en tant
que film diélectrique unique ou comme couche interfaciale sous le diélectrique
[Quevedo-Lopez2002b], [Opila2002], [Wilk2000a], [Wilk2000b], [Wilk1999],
[Chang2001c], [Callegari2001], [Sarkar2002], [Muller2001], [Morais2001b].
L’avantage de silicate est de présenter une interface dont les caractéristiques
s’approche de celles de l'interface SiO2 /Si.
1.4.1
Fabrication des matériaux high-κ
Alors que SiO2 est obtenu simplement par oxydation thermique du silicium
dans un four de type RTA (Rapid Thermal Annealing), les matériaux high-κ doivent
être déposés à l'aide d'une technique du dépôt employée en microélectronique :
MOCVD, ALCVD, PECVD, RPCVD, pulvérisation, évaporation, MBE, PLD etc.
Le lecteur trouvera la signification de chaque abréviation en se rérérant au lexique
au début de ce manuscrit. Parmi ces techniques, celles qui aujourd'hui semblent les
mieux adaptées au dépôt d'isolants tout en étant facilement transposables dans une
ligne de production sont l’ALCVD et la MOCVD. La plupart des matériaux que
nous avons étudiés ont été élaborés par ALCVD. Le principal atout de cette
technique qui sera détaillée dans le chapitre suivant est la capacité du contrôle à
l'échelle atomique de l’épaisseur déposée.
CHANG Youjean - Thèse
43
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Parmi les précurseurs les plus couramment utilisés pour la croissance de films
d'Al2 O3 par ALCVD, on trouve le trimethylaluminum (TMA, Al(CH3 )3 ) comme
précurseur métal et H2 O comme précurseur oxygène. Le TMA est un précurseur
organométallique sous forme liquide, et présente comme avantage par rapport au
précurseur à source solide, AlCH3 , outre une plus grande commodité d'utilisation,
d'autoriser une température de croissance plus basse. Son principal inconvénient est
la contamination en impuretés (C et H notamment) pendant les réactions.
[Yun1997]. D’autres précurseurs ont fait l'objet d'études, tel que le chlorure de
dimethylaluminum (DMACl, Al(CH3 )2 Cl) [Kukli1997]. Par rapport à TMA et AlCl3
(le premier précurseur solide, utilisé pour le dépôt d’Al 2 O3 par ALCVD
[Suntola1977], [Ritala1996]) la pression en vapeur est comparable à celle de TMA
et la quantité de produit secondaire corrosive (HCl) ainsi que la contamination de
chlore sont plus faibles que dans le cas d’AlCl3 . Grâce au ligand chlore, DMACl est
supposé montrer une meilleure stabilité contre la décomposition que TMA. Mais
malgré ces avantages le film déposé par DMACl montre une contamination
importante en C, H et Cl qui diminue (< 1%) lors que la température de dépôt
augmente (> 400°C). Côté oxydant, le remplacement de H2 O par l'ozone [Kim2002]
montre une amélioration du film : une diminution importante des liaisons OH et AlAl, un courant de fuite et une tension de bande plate réduits.
Parmi les autres techniques CVD utilisées pour le dépôt d'Al 2 O3 , on peut citer
la MOCVD à basse température (≤ 250°C) [Shao2002], [Pande1983] et la PECVD
avec les précurseurs organométallique comme le triethyldialuminum tri-secbutoxide (TEDA-TSB) [Johnson2001], [Lazar2001], [Klein1999] ou encore le
trimethylaluminum
(TMAl)
[Pande1983].
L’utilisation
de
sources
organométalliques est intéressante parce qu’elle permet d’avoir une bonne qualité
du film sur une large surface de substrat.
D'autres études relatent la croissance d’Al2 O3 par PVD (cf. Tableau 1. 3).
Citons les travaux de Guha et al. qui, par « ultra-high-vacuum reactive atomic layer
deposition : MBE modifié », ont obtenu deux phases différentes d'Al2 O3 en fonction
des conditions de dépôt: amorphe pour une température de croissance (T g )
inférieure à 550°C et cubique γ-Al2 O3 pour Tg supérieure à 650°C [Guha2001]. Ces
deux phases (amorphe et poly-cristalline) n’ont pas montré la formation d’une
couche interfaciale.
Concernant la croissance de HfO2 , ZrO2 et leurs silicates, beaucoup d'études
universitaires sont basées sur des matériaux élaborés par pulvérisation
[Busch2000],
[Lee1999],
[Wilk2000b],
[Muller2001].
Notamment
le
« Microelectronics Research Center » à l’Université d'Austin au Texas qui a acquis
une grande expérience dans ce domaine. En adaptant les conditions de dépôt, les
chercheurs d'Austin ont atteint des EOTs record, soit par exemple en nitrurant la
CHANG Youjean - Thèse
44
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
surface de Si avant le dépôt de ZrO2 [Nieh2002] ou en ajoutant après le dépôt de
HfO2 , une couche de HfN [Cho2002d]. Lors de la pulvérisation, deux types de
cibles peuvent être utilisés: une cible "oxyde" ou une cible "métal". Selon Lee et al.,
le contrôle de l’épaisseur du film d’HfO2 est plus difficile avec une cible d’HfO2
plutôt qu' une cible d’Hf [Lee2000b]. Dans ce dernier cas, l'oxydation de l'Hafnium
a lieu après le dépôt sous une atmosphère d'oxygène pur. Toutefois, Ramanathan et
al. ont montré qu'une oxydation de ZrO2 sous ozone assistée par UV à température
ambiante conduisait à améliorer les propriétés électriques [Ramanathan2001a]. Par
ailleurs, le JVD (Jet Vapor Deposition) [Zhu2002a] et UHV-EBE (Ultra-High
Vacuum Electron Beam Evaporation) [Zhang2002b] pour le dépôt de ZrO2 avec des
fortunes diverses.
Compte tenu des enjeux industriels, l'élaboration de ZrO2 et plus encore
maintenant d'HfO2 par ALCVD et MOCVD fait l'objet de nombreux
développements. Dans la majorité des cas, les précurseurs utilisés en ALCVD sont
ZrCl4
[Houssa2001a], [Perkins2001a] et HfCl4 [Cho2002c], [Aarik2001]
[Damlencourt2002a] respectivement avec H2 O comme oxydant. Quelques études
concernent toutefois le développement de précurseurs sans carbone tel que
Hf(NO3 )4 [Park2002], [Campbell2001]. En MOCVD, les premières études sur des
propriétés diélectriques d’HfO2 et ZrO2 remontent à 1977 [Balog1977]. Plus
récemment Bastos et al. ont déposé 5 nm d’HfO2 en utilisant Hf-t-butoxide comme
précurseur à 550°C [Bastos2002]. Avec C16 H36 HfO2 et O2 , Lee et al. ont réussi à
obtenir un très faible EOT de 7,8 Å [Lee2002a]. Le ZrO2 déposé par MOCVD avec
Zr-t-butoxide n’a pas formé de silicate jusqu’à 800°C [Jeon2001].
Matériau
Al 2 O 3
HfO 2
ZrO 2
HfSiO 4
ZrSiO 4
[Kim2003]
[Houssa2001b]
Technique
ALD
[Groner2002]
[Lin2002b]
[Aarik2001]
MOCVD
[Shao2002]
[Bastos2002]
[Lazar2001]
[Jeon2001]
[Shappir1986]
[Pande1983]
PECVD
[Johnson2001]
[Kato2002]
[Kato2002]
pulvérisation
[Ha2002]
[Yamamoto2002]
[Nieh2002]
[Morais2002]
[Qi2000c]
[Harris2002]
[Zhang2002b]
[Baek2002]
[Howard2002]
[Cho2002d]
évaporation
[Guha2001]
[Wado1995]
PLD
[Misra2001]
[Wilk2000a]
Tableau 1. 3 Techniques du dépôt de high-κ
CHANG Youjean - Thèse
45
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Un effort soutenu est également mené pour maintenir une recherche "plus
amont" sur de nouveaux diélectriques, tels que les oxydes métalliques. Dans ce cas,
la technique d'élaboration privilégiée est la MBE. On peut citer par exemple, le
dépôt de ZrO2 [Wang2001a], La2 O3 [Stemmer2001], [Maria2001] et Y2 O3
[Guha2000] ou de SrTiO3 monocristallin [Shutthanandan2002], [McKee1998].
Une étude originale a montré l'oxydation directe d'un film de La amorphe à 400500°C, ce qui est similaire à la croissance de SiO2 thermique conventionnelle
[Wu2000]. Le Tableau 1. 3 synthétise quelques exemples récents de high-κ déposés
par différentes techniques.
1.4.2
Résultats physico-chimiques des matériaux high-κ
Les conditions d'élaboration hors équilibre des matériaux high-κ ainsi que les
recuits haute température après le dépôt déterminent les propriétés finales du
diélectrique. Il importe donc de pouvoir analyser finement les propriétés
structurales (stœchiométrie, état de contrainte, degré de cristallisation, orientation
cristalline etc..) et physico-chimiques qui vont conditionner ses propriétés
électriques. Un point important est l'étude de la stabilité thermique (re-croissance de
la couche interfaciale ainsi que sa nature ; diffusion des espèces pendant les recuits
etc..). Pour analyser ces propriétés structurales et physico-chimiques, il existe un
grand nombre de techniques, depuis les plus classiques (XRD, XPS, FTIR, SIMS,
RBS etc..), jusqu'aux techniques spécifiques ou à très haute résolution parmi
lesquelles : HRTEM (High Resolution Transmission Electron Microscopy), MEIS
(Medium-Energy Ion Scattering) [Maria2001], [Gusev2000], NRP (NuclearReaction Profiling) [Klein1999], [Gusev2000], EELS (Electron Energy-Loss
Spectroscopy) [Muller2001], [Muller1999], RHEED (Reflection High-Energy
Electron Diffraction) [Kundu2002a], IRS (InfraRed-Absoption Spectroscopy).
[Queeney2000]. Nous n’allons pas détailler chacune d'entre elles ici, pourtant le
lecteur pourra consulter certaines techniques utilisées au cours de notre travail dans
le chapitre suivant.
1.4.2-1 Stabilité thermique des diélectriques et couche interfaciale
Considérons tout d'abord le cas de l'Al2 O3 . Bien que sa bonne stabilité
thermique soit reconnue (absence de réaction avec Si, structure amorphe jusqu’à
~1000°C et caractère abrupt de l’interface (cf. Figure 1. 11), la croissance d'une
couche interfaciale d’Al2 O3 est observée après dépôt par MOCVD à 400°C
[Klein1999] (Figure 1. 16). Des mesures NRP et XPS révèlent que cette couche
interfaciale est un silicate d'aluminium (AlSix Oy), qui selon les auteurs serait dû à
une oxydation du Si pendant le dépôt par l’oxygène en excès et les OH en surface.
CHANG Youjean - Thèse
46
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Ce résultat montre que la formation de silicate peut être favorable
thermodynamiquement lorsque les OH participent à la réaction entre Al2 O3 et Si
[Misra2002].
Une étude intéressante de l’effet de l’oxygène sur l’interface a été présenté
par Busch et al. pour un film d'Y2 O3 [Busch2001]. Deux types d’échantillons ont
été comparés : avec ou sans couche de protection de Si en surface de Y 2 O3 .
L’échantillon non protégé par le Si montre un excès d’oxygène (la composition
n’est pas stœchiométrique) et la formation d'une couche interfaciale de SiO2 ou de
silicate à température ambiante. Après un recuit à basse température (200°C), le
silicium du substrat migre et réagit avec l’oxygène, ce qui a pour conséquence un
accroissement de la couche interfaciale. Quant à l’échantillon protégé par le Si, il
présente une interface abrupte (≤ 2 Å) avec une bonne stœchiométrie jusqu’à des
températures de recuit de 900°C. Il semble donc que Y 2 O3 ne soit pas une bonne
barrière contre la diffusion d'oxygène. Ceci est confirmé par les travaux de Guha et
al. basés sur une comparaison entre Y2 O3 et La2 O3 [Guha2000]. Ceux-ci tendraient
à montrer le caractère poly-cristallin du film Y2 O3 contrairement à La2 O3 , favorisant
ainsi la diffusivité de l’oxygène aux joints de grain.
(a)
(b)
Figure 1. 16 (a) Image de HRTEM d'un film d'Al 2 O 3 de 18 nm d'épaisseur déposé sur Si
traité HF montre la croissance d'une couche interfaciale (b) La formation d’une couche de
silicate a été confirmée par XPS. (d’après [Klein1999])
De leur côté, Krug et al. ont étudié la formation de la couche interfaciale avec
le film d’Al2 O3 déposé par ALD [Krug2000]. Ils mettent en évidence par NRP la
migration des atomes d’O, d'Al et de Si après un recuit RTA sous oxygène. Cet
effet n'est pas observé pour un recuit identique sous vide. En traçant le profil de
18
O2 , ils concluent au rôle essentiel joué par l’oxygène en phase gazeuse sur le
mouvement des atomes et la réaction chimique pendant le recuit. Par ailleurs,
l’empilement HfO2 /Hf déposé par pulvérisation a été étudié par Yamamoto et al
[Yamamoto2002] avec pour objectif de favoriser l'oxygène en excès à réagir avec
CHANG Youjean - Thèse
47
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
le Hf plutôt qu'avec le Si, et limiter ainsi la formation de la couche interfaciale.
Cependant l’oxydation de Hf étant incomplète, il est resté de l'hafnium métallique
en excès dans HfO2.
D'autre part, il a aussi été mentionnée la formation de couches de silicate sous
l'effet des recuits par diffusion des éléments métalliques (Al, Zr, Hf, Y, La) dans Si
et la migration de Si dans le diélectrique. La liaison Si-Si a été observée dans
ZrAlx Oy par la migration de Si du substrat après recuit à 600°C sous ambiance ultra
vide (~10-5 Pa) [Morais2001a]. La diffusion d’Al dans Si, liée à la dissociation
d’Al 2 O3 a été observée à partir d'une température de recuit supérieure à 1000°C.
[Guha2002b] La diffusion d’Hf de la couche de silicate (HfO2 )1-x(SiO2 )x vers Si
après recuit à 1000°C a été observé [Quevedo-Lopez2001]. Il semble toutefois que
la diffusion de Zr soit plus facile que celle de Hf, de même que la transformation de
ZrO2 en silicate par rapport à celle de HfO2 [Houssa2001a]. La mesure RBS de
Morais et al. montre l’immobilité de Hf dans le film de silicate (HfO2 )1-x(SiO2 )x
après recuit à 1000°C sous différentes ambiances [Morais2002] (Figure 1. 17).
D’autre part, la diffusion d’oxygène dans le film monocristallin de SrTiO3 vers
l’interface a provoqué un désordre des atomes Sr, Ti, O durant un recuit à 800°C
sous vide en formant une couche interfaciale de SiO2 [Shutthanandan2002]. Des
mesures XPS permettent de nous renseigner sur la composition de la couche de
silicate. Par exemple, Renault et al. ont confirmé par XPS pour un film de HfO2
déposé sur 0,6 nm de SiO2 , la formation d'une couche interfaciale de silicate dont la
composition est enrichie en Si [Renault2002b].
Figure 1. 17 Spectre RBS : sans recuit (ligne solide), recuit sous
60 s (cercle vide), recuit sous O 2 à 1000°C pendant 60 s puis
(cercle plein), recuit sous N 2 à 1000°C pendant 60 s puis
18
(triangle vide). En encart, le signal Hf (d’après [Morais2002])
CHANG Youjean - Thèse
48
18
18
O 2 à 1000°C pendant
O 2 à 1000°C pendant 60 s
O 2 à 1000°C pendant 60 s
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Alors qu’avec Al2 O3 , ZrO2 et HfO2 la couche de silicate formée se situe à
l'interface Si/high-κ, la transformation après recuit d'une couche de La2 O3 déposé
sur Si en silicate La-Si-O s'étend dans toute la couche de diélectrique [Copel2001a].
Dans ce cas, LaOx a été déposé par évaporation à partir d'une cible de La 2 O3 sur une
couche interfaciale de SiO2 thermique. Après oxydation à 850°C, la couche de
La2 O3 s'est transformée en La2 Si2 O7 (Figure 1. 18). Un résultat similaire a été décrit
par Maria et al. [Maria2001]. Auparavant, Guha et al. ont remarqué la fluctuation
de composition entre La et Si par MEIS et HRTEM [Guha2000]. De même,
Stemmer et al. ont observé la diffusion de La dans SiO2 après recuit à 800°C
[Stemmer2001]. Limités toutefois par la résolution da technique EELS, ils n'ont pu
confirmer la formation d'une couche de silicate.
Figure 1. 18 Spectre de XPS d'un film de La 2 O 3 sur SiO 2 . Après oxydation, la raie O 1s à
532,1 eV est dominante, indiquant la liaison La-O-Si. (d’après [Copel2001a])
1.4.2-2 Solutions pour améliorer la stabilité thermique
La stabilité thermique de HfO2 peut être améliorée avec l'incorporation
d'Al2 O3, comme le démontrent Yu et al. [Yu2002] le graphe ci-dessous (Figure 1.
19 ) représente le rapport des intensités des liaisons Si-O et Si-Si mesurées par XPS
en fonction de la température de recuit pour différentes compositions d'aluminates
d'Hf ((HfO2 )x (Al2 O3 )1-x). On voit que ce rapport, qui traduit l'épaisseur de la couche
interfaciale, diminue à mesure que la concentration d'Al2 O3 dans l'aluminate
augmente, l'écart étant d'autant plus important que la température de recuit est
élevée. La température de cristallisation augmentant avec le pourcentage d'Al, les
auteurs expliquent qu'en préservant ainsi le caractère amorphe de l'aluminate pour
des températures de recuit plus élevées, il résiste mieux à la diffusion de l'oxygène
ce qui contribue donc à limiter la croissance de la couche interfaciale. La plus faible
diffusivité de l'oxygène dans Al2 O3 que dans HfO2 renforce d'ailleurs cet effet. Zhao
CHANG Youjean - Thèse
49
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
et al. ont également observé l’amélioration de la stabilité thermique de ZrO2 par
alliage avec Al2 O3 , donnant un film de ZrAlx Oy qui reste amorphe jusqu’à 900°C
[Zhao2002].
Figure 1. 19 Le taux de I oxy /I Si
pour
(HfO 2 ) x (Al 2 O 3 ) 1-x
suivi
le
recuit sous N 2 ou vide (d’après
[Yu2002])
Une autre voie pour accroître la stabilité des diélectriques est l'étude de
structures « nanolaminate », c'est à dire déposer une fine couche de deux
diélectriques l’une sur l’autre alternativement [Besling2002], [Zhang2000a],
[Kukli1996]. Dans cette voie, Cho et al. ont déposé plusieurs couches ultra-minces
d’Al 2 O3 et HfO2 alternativement par ALCVD [Cho2002a] et montré que la structure
laminate disparaissait après recuit à 920°C pour laisser place à une seule couche
d’Al 2 O3 +HfO2 cristallisée (Figure 1. 20). La structure "nanolaminate" permet donc
de repousser la température de cristallisation par rapport à celle de HfO2 pur, qui est
inférieure à 700°C. Cette même observation ainsi qu'une amélioration des propriétés
électriques a également été rapportée pour la structure nanolaminate Al 2 O3 -ZrO2
(EOT ~1.1 nm) déposée par ALCVD [Besling2002].
Figure 1. 20 Image de HRTEM d'une structure nanolaminate Al2 O 3 -HfO 2 (a) après dépôt,
sans recuit et (b) après recuit à 920°C. La structure laminate a disparu, laissant place à
une structure monoclinique de direction [111]. (d’après [Cho2002a])
CHANG Youjean - Thèse
50
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
D'autres résultats encore, présentent l’amélioration de la stabilité thermique
par des traitements spéciaux additionnels avant ou après le dépôt de diélectrique.
Parmi eux, une couche de barrière en nitrure (SiON [Lee2002a], SiNx [Cho2002b],
[Nakajima2001]) a été adoptée par quelques auteurs pour pallier l’oxydation du Si.
Cho et al. ont montré qu’une couche de SiNx sous HfO2 agissait de façon efficace
contre la diffusion du Si et de O jusqu’à 1000°C, la contrepartie étant toutefois un
niveau de charges piégées à l’interface et dans le volume très élevé [Cho2002b].
Chambers et al. ont aussi rapporté l’intérêt d’une couche de nitrure pour prévenir la
formation de silicate avec Y2 O3 [Chambers2001a]. De même, Chang et al ont
montré qu'inclure un film de 0,5-0,7 nm de SiNx permettait de repousser la
température de décomposition de ZrO2 /ZrSix Oy en ZrSi2 de 880°C à 950°C
[Chang2001c].
1.4.3
Résultats électriques sur les matériaux high-κ
L’avenir des matériaux high-κ dans les prochaines générations de CMOS sera
essentiellement déterminé par leurs propriétés électriques. Les techniques de
caractérisations électriques sont directement transposées des études sur SiO2. Les
principaux paramètres à considérer sont : le niveau de courant de fuite, la capacité
d'oxyde et EOT associée, les charges dans l’oxyde, la densité des états d’interface,
la fiabilité etc. De plus, les propriétés électriques de l’interface conditionnent très
largement les performances du transistor, tout autant que les propriétés de volume
du diélectrique high-κ. Dans cette partie, nous nous intéresserons tout d'abord à la
détermination des hauteurs de barrière, puis des mécanismes de conduction dans
l'oxyde et l'impact de l'état de cristallisation puis nous parlerons des états d'interface
et des défauts avant de terminer en donnant quelques exemples d'intégration de
matériaux high-κ dans des dispositifs.
1.4.3-1 Mesure de la hauteur de barrière
Comme nous l'avons déjà mentionné dans le paragraphe §1.3.1-2, le
positionnement en énergie du diélectrique high-κ par rapport à la bande interdite du
silicium représente un élément important dans la caractérisation électrique du highκ. Il importe donc d'avoir une connaissance précise du schéma de bande interdite.
Les résultats expérimentaux dans ce domaine sont encore relativement peu
nombreux. Nohira et al. ont étudié l'évolution de la largeur de bande interdite en
fonction de l’épaisseur du diélectrique (0,1-4,1 nm) par XPS [Nohira2002].
L’excitation d’un électron de la bande de valence vers la bande de conduction peut
être déterminée par la différence d’énergie perdue entre le raie centrale d’O 1s
(associée à l’électron photo-excité) et le minimum d’énergie inélastique (c'est-à-dire
le début de l’excitation bande à bande et des plasmons ( Figure 1. 21 (a)). De cette
CHANG Youjean - Thèse
51
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
façon, ils obtiennent une valeur moyenne de bande interdite de 6,7±0,2 eV pour
Al2 O3 (> 0,9 nm) et 5,6±0,2 eV pour ZrO2 (> 0,6 nm). Connaissant la largeur de
bande interdite et la discontinuité de bande valence, on peut construire le schéma de
bande du diélectrique (Figure 1. 21 (b)) : la discontinuité de bande de conduction
pour Al 2 O3 est de 2,7 eV [Ludeke2000c].
(a)
(b)
Figure 1. 21 (a) Spectres XPS de raies O 1s du film d'Al2 O 3 de 0,2 à 3,9 nm d’épaisseur
(b) discontinuité de bande de valence d'Al2 O 3 et de ZrO 2 en fonction de l’épaisseur (d’après
[Nohira2002])
Les valeurs de bande interdite de Nohira et al. sont en accord avec celles de
Miyazaki et al. par XPS [Miyazaki2002] et de Afanas’ev et al. par IPE (Internal
Electron Photoemission) [Afanas'ev2002a]. Il faut noter que ces valeurs rapportées
sur des films high-κ de faible épaisseur (≤ 20 nm) sont inférieures à celles obtenues
sur les oxydes massifs : 8,8 eV pour Al2 O3 et 5,8 eV pour ZrO2 (cf. Tableau 1. 2).
Par ailleurs, Afanas’ev et al. ont déterminé la hauteur de barrière d’énergie entre la
bande de valence du Si et la bande de conduction de l’oxyde pour Al2 O3 et ZrO2 par
les mesures de photo émission interne des électrons. Ils obtiennent : 3,25±0,08 eV
pour Si/Al2 O3 et 3,1±0,1 eV pour Si/ZrO2 [Afanas'ev2002a].
1.4.3-2 Courant de grille- influence de la cristallisation
La réduction du courant de fuite est un enjeu essentiel pour l'intégration des
high-κ. L’ordre de grandeur de cette réduction par rapport au courant obtenu sur
SiO2 à même EOT, varie d'un diélectrique à l'autre d'un facteur 102 à 105 (cf.
Tableau 1. 2 ). La Figure 1. 22 montre le courant de fuite d’Al 2 O 3 déposé par
« ultra-high-vacuum reactive atomic layer deposition » en fonction de l’EOT
[Guha2001]. Ce courant est environ cinq ordres de grandeur plus faible que celui
de SiO2 avec une constante diélectrique pour Al 2 O3 autour de 9-10. On peut
également noter d'après cette figure, qu'aucune dégradation du courant n'est
CHANG Youjean - Thèse
52
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
observée sur les échantillons d’Al 2 O3 poly-cristallins par rapport aux échantillons
amorphes. Ces auteurs ont observé la même tendance avec un film d’Y2 O3
cristallisé [Guha2000]. De leur côté, Wu et al. ont rapporté les propriétés
électriques de films de La2 O3 : J ~0,06 A/cm2 à -1V, κ ~27 pour une EOT de 0,5
nm, la fiabilité est de plus de 10 ans à 2 V [Wu2000].
Figure 1. 22 Courant de fuite d’Al 2 O 3 en fonction de l’EOT. A même EOT le courant est
réduit de 5 ordres de grandeur par rapport à celui de SiO 2 . Les cercles vides représentent
les échantillons cristallins. (d’après [Guha2001])
Figure 1. 23 Courant de fuite de l'empilement 3 nm HfO 2 /2,5 nm SiO 2 après recuit sous
forming gaz en fonction de la température de recuit sous N2 (d’après [Kim2003])
Les effets de la cristallisation sur les propriétés électriques ont été étudiés par
Kim [Kim2003] pour HfO2 et par Afanas’ev pour Al2 O3 [Afanas'ev2002b]. Après
un recuit à 600°C, HfO2 est complètement cristallisé dans une structure
monoclinique. Toutefois, le courant de fuite diminue pour des températures de
recuit supérieures à 600°C ( Figure 1. 23). A champ faible, le mécanisme « tunnel
CHANG Youjean - Thèse
53
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
direct assisté par pièges » est dominant alors qu’à champ fort c’est le mécanisme
« Fowler-Nordheim » : le lecteur peut consulter la description des différents
mécanismes de conduction dans les oxydes en Annexe A de ce manuscrit. Afanas’ev
et al. ont également observé sur Al2 O3 une réduction du courant après recuit. Un
recuit à 900°C conduit à un courant de fuite plus faible que les recuits à 700°C et
800°C. Ils expliquent cette diminution de courant par un changement structural:
Autour de 800°C, le film d’Al2 O3 jusque-là amorphe se transforme en γ-Al2 O3 ,
entraînant une modification de la bande de conduction.
Afin d'explorer les mécanismes de conductions, des caractérisations I-V sont
effectuées à différentes températures. Zhu et al. ont mesuré le courant de grille en
accumulation de structures MOS Pt/HfO2 /Si pour des températures comprises entre
27°C et 400°C, avec injection d’électrons par le substrat (type n) ou par la grille
(substrat type p). Sous injection par le substrat, le courant suit un mécanisme
d'émission Schottky (pour T > 200°C) alors que sous injection par la grille, la
conduction dominante est de type Poole-Frenkel (P-F) (Figure 1. 24). Comme la
hauteur de barrière Schottky HfO2 /Si (1,13±0,13 eV) est plus faible que l’énergie
d'activation des pièges extraite de la conduction P-F (1,5±0,1 eV), l’émission
Schottky est favorisée par rapport à la conduction P-F dans le cas d'une injection
par le substrat.
(a)
(b)
Figure 1. 24 Dépendance en température du courant de grille (a) injection par le substrat
(b) injection par la grille. Les encarts montrent les tracés de l’émission Schottky (a) et
Poole-Frenkel (b). (d’après [Zhu2002b])
Le Tableau 1. 4 présente quelques exemples de mécanismes de conduction
rapportés dans la littérature pour différents diélectriques high-κ.
CHANG Youjean - Thèse
54
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
High-κ
HfO 2
Epaisseur
Mécanisme de conduction
3
nm
tunnel
HfO 2 +2,5
nm
assisté
direct
par
FowlerNordheim
SiO 2
pièges (LF)
HfO 2
EOT 3 nm
Fowler-Nordheim (à 77K)
ZrO 2
EOT 2,2 nm
ZrO 2 /ZrSi x O y
EOT 1,5 nm
Ta 2 O 5
EOT 2,5 nm
TiO 2
EOT 2,2 nm
tunnel
direct
15 nm SrTiO 3
[Zhu2002b]
Poole-Frenkel
(HF)
émission
Poole-Frenkel
Schottky (LF)
(HF)
émission Schottky
tunnel
(LF)
(HF)
grille)
[Ramanathan2002]
[Chang2001b]
[Luo2001]
Poole-Frenkel
(injection
[Kim2003]
(HF)
(LF)
Poole-Frenkel
SrTiO 3
Référence
direct
[Luo2001]
émission
Schottky
(injection
[Jeon2002]
substrat)
Tableau 1. 4 Mécanismes de conduction rapportés dans la littérature sur les matériaux
high-κ (HF : champ électrique fort, LF : champ électrique faible)
Une modélisation intéressante du courant tunnel direct est proposée par Yeo
et al. [Yeo2002]. En comparant les résultats de la simulation aux données
expérimentales, leur étude permet de prévoir la limite du scaling pour différents
high-κ (Figure 1. 25). Plus la pente donnant la densité de courant en fonction de
l'EOT est élevée, plus le diélectrique s'avère potentiellement intéressant.
Figure 1. 25 Densité de courant en
inversion (⎢V g ⎪=1V) en fonction de
l'EOT. Plus la pente est élevée, plus
prometteur est le high-κ en terme
de scaling. (d’après [Yeo2002])
CHANG Youjean - Thèse
55
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
1.4.3-3 Caractérisation capacité-tension - densité d'états d'interface, charges et pièges
dans l'oxyde.
Les caractéristiques C-V donnent notamment des informations sur la valeur de
l'EOT et la présence d'états d'interface et de charges fixes ou mobiles. Un décalage
de la tension de bande plate (VFB) dans le sens positif ou négatif signifie la présence
de charges fixes, de signe opposée au sens du décalage. Bien que les autres
matériaux high-κ présentent plutôt des charges positives Al 2 O3 présente dans la
plupart des cas des charges négatives [Ericsson1997], [Lee2000b],
[Buchanan2000], [Johnson2001], [Lee2002b], [Kim2002].
La densité d'états d’interface peut être extraite par différentes méthodes
[Nicollian1992] : la méthode de Terman, la méthode haute et basse fréquence
combinée, la méthode de la conductance, le pompage de charges. Le Tableau 1. 5
résume quelques valeurs typiques de valeurs de densité d'états d’interface
rapportées dans la littérature pour différents high-κ.
High-κ
D it (eV -1 cm -2 )
11
Méthode de dépôt
Référence
ALCVD
[Besling2002]
Al 2 O 3
1x10
Al 2 O 3
2,8x1011
ALCVD
[Lee2002b]
Al 2 O 3
11
ALCVD
[Lee2000b]
Atomic-beam deposition
[Guha2001]
3,2x10
10
Al 2 O 3
~10
HfO 2
6x10 11
évaporation
[Harris2002]
HfO 2
7x10 10
pulvérisation
[Lee2000a]
pulvérisation
[Cho2002d]
ALCVD
[Chang2001b]
Oxydation directe de La
[Wu2000]
MBE
[Guha2000]
RF sputtering
[Jung2001]
MOCVD
[Lee2002b]
HfO 2
<10
11
11
ZrO 2
2x10
La 2 O 3
3x1010
11
Y2O3
<10
TaO x N y /ZrSi x O y
2x10 11
12
Ta 2 O 5
1x10
SrTiO 3
6,4x1010
MBE
[Eisenbeiser2000]
SrTiO 3
1,3x1011
MBE
[Jeon2002]
Tableau 1. 5 Densité d'états d’interface rapportée dans la littérature pour différents
matériaux high-κ
Des techniques d'analyse plus spécifiques permettent d'étudier la nature des
défauts dans les matériaux high-κ. Citons par exemple les travaux de Stesmans
[Stesmans2002] et Cantin [Cantin2001] qui ont analysés les défauts à l’interface
CHANG Youjean - Thèse
56
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Al2 O3 /Si (100) par ESR (Electron Spin Resonance) et EPR (Electron Paramagnetic
Resonance) respectivement. Leurs conclusions indiquent que les défauts à
l'interface Al2 O3 /Si sont principalement de type Pb (Pb0 , Pb1 ) comme à l’interface
Si/SiO2 .
Certains traitements particuliers permettent d'améliorer les propriétés
électriques des diélectriques. Harris et al., par exemple, remplacent la préparation
standard du substrat de Si, à base de HF suivi d'un rinçage à l'eau par un traitement
HF dilué 10-20% dans une solution d'alcool éthylique. Cette méthode conduit à une
passivation de la surface en hydrogène plus complète qu’avec un rinçage HF-H2 O
traditionnel. Avec le recuit sous H2 à 300°C, 45 minutes après dépôt, ils ont réussi à
obtenir un EOT de HfO2 de 0,5 nm avec une réduction des effets de dispersion en
fréquence et d'hystérésis. Les auteurs attribuent ces résultats aux conditions
d’élaboration du film optimisées : la préparation de surface, le dépôt de diélectrique
à température ambiante et le recuit sous H2 [Harris2002]. De leur côté, Sim et al.
ont effectué un recuit de HfO2 sous deuterium (D2 ) [Sim2002]. Par rapport à un
PMA (Post Metallization Annealing) conventionnel sous H2 , le recuit sous D2
améliore les pièges dans l’oxyde, la densité d’états d’interface et la fiabilité. Le
Deuterieum, isotope lourd de l’hydrogène, forme une liaison plus forte que
hydrogène réduisant ainsi le taux de réaction chimique [Kizilyalli1997].
L'amélioration des propriétés électriques des high-κ a aussi été obtenue par
l’introduction de différents types de dopants. Un dopage de HfO2 au dysprosium
(Dy) a conduit à une réduction du courant de fuite [Lee2001]. Les dopant, Nd, Tb
ou Dy ont de la même façon, amélioré les propriétés électriques de TiO2 [van
Dover1999]. L’origine de ces effets bénéfiques sur les propriétés électriques est
expliquée par l’électronégativité plus faible de ces dopants qui permet de réduire la
concentration des lacunes d’oxygène.
CHANG Youjean - Thèse
57
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Figure
1.
26
Courbes
de
capacité-
tension mesurées à plusieurs fréquences
sur ZrO 2 sur 11 Å d'oxyde chimique (a)
tel que déposé (b) recuit sous N 2 à
450°C, 30 min (c) recuit sous N 2 et
forming gaz à 400°C, 30 min (d’après
[Ramanathan2002])
L’atmosphère sous laquelle sont effectués les recuits peut aussi avoir un
impact sur les caractéristiques C-V [Ramanathan2002]. Considérons l'exemple de
Ramanathan et al, qui montre qu'une couche de ZrO2 avant recuit présente un effet
d'hystérésis significatif (> 100 mV), une densité d'états d’interface élevée
(épaulement du C-V en déplétion), et un effet de dispersion en fréquence de la
capacité (Figure 1. 26). Après recuit sous N2 à 450°C pendant 30 min, l'hystérésis a
quasiment disparu (< 15 mV), pourtant la dispersion subsiste un peu dans la zone de
transition inversion-déplétion, indiquant la présence des états lents. Toutefois, la
capacité en accumulation a chuté de 20% après recuit sous N2 , indiquant une recroissance de la couche interfaciale. Un recuit additionnel sous forming gaz conduit
à une amélioration supplémentaire de la dispersion en fréquence en donnant un EOT
~16,5 Å. La croissance de l’EOT et la diminution des hystérésis après recuit ont été
observées sur ZrO2 [Nieh2002], [Zhu2002a].
1.4.4
Intégration des high-κ dans les dispositifs
L’intégration de high-κ dans le transistor a montré un bon comportement des
performances du transistor. Par contre la diminution de mobilité dans le canal et la
stabilité avec l’électrode de grille reste les principaux problèmes à résoudre. Le
choix du matériau de grille est une question ouverte qui doit prendre en compte la
compatibilité "chimique" avec le diélectrique high-κ, mais aussi la compatibilité
technologique avec le procédé de fabrication du transistor (architecture
conventionnelle ou non) et les valeurs de travail de sortie visées. A côté des grilles
standard en Si-poly, on trouve des réalisations d'intégration de grilles métalliques
telles que : Al [Muller2001], [Ragnarsson2001], TaN [Gopalan2002], RuO2
[Zhong2001], TiN [Jeon2003].
Buchanan et al. ont publié en 2000 les caractéristiques de transistors (L eff
~0,08 µm) intégrant Al2 O3 et une grille Si-poly (Figure 1. 27) [Buchanan2000].
Malgré quelques problèmes tel que le décalage de VFB à cause des charges fixes et
CHANG Youjean - Thèse
58
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
des effets d'hystérésis ainsi qu'une mobilité réduite, les caractéristiques globales du
dispositif (courant de fuite, courant de drain, contrôle des effets de canal court,
transconductance, fiabilité) sont encourageantes. Lee et al. ont obtenu des résultats
similaires (EOT 22,7 Å), tout en réduisant le décalage de V FB et en améliorant les
performances de mobilité et transconductance par la grille P+ implantée en BF2
[Lee2000b]. Par ailleurs, une mobilité à fort champ s'approchant de celle de SiO2 , a
été atteinte sur un nMOSFET Al2 O3 avec une grille d’Al [Ragnarsson2001]. Les
auteurs expliquent que la réduction de mobilité à faible champ serait due aux
interactions coulombiennes liées à la densité d’états d’interface élevée dans Al2 O3 .
Figure 1. 27 Caractéristiques I d -V d d'un
nMOSFET de 0,08 µm de longueur de
grille intégrant 1,3 nm d'Al2 O 3 comme
diélectrique de grille et une grille en Sipoly (d’après [Buchanan2000])
De leur côté, Kim et al. ont fabriqué un nMOSFET avec HfO2 et ZrO2 comme
oxyde de grille en utilisant un procédé standard conventionnel [Kim2001]. Avec un
recuit RTA à 850-1000°C pendant 10-30 secondes l’empilement Si-poly/HfO2 a
montré des performances intéressantes (I on , µeff, pente sous le seuil) et supérieures à
ZrO2 . Après recuit à 1000°C il a été observé la formation d’une couche interfaciale
entre HfO2 et Si-poly, associée à une réduction de l’épaisseur d’HfO2 (de 3 nm asdep à 2 nm après recuit).
Hergenrother et al. proposent un transistor vertical à remplacement de grille
(Leff 50 nm), « vertical replacement-gate (VRG) » intégrant HfO2 et Al2 O3 par
ALCVD (Figure 1. 28) [Hergenrother2001]. Ils obtiennent de bonnes
performances avec notamment un courant de fuite du film HfO2 poly-cristallin
(EOT 15 Å) très faible (10-7 A/cm2 à Vg -VT=0,6 V).
CHANG Youjean - Thèse
59
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Figure 1. 28 Image HRTEM de la zone
active
d'un
remplacement
comme
transistor
de
grille
diélectrique
de
vertical
intégrant
grille
à
HfO 2
(d’après
[Hergenrother2001])
L'utilisation d'une grille en Si-poly pose le problème de la pénétration du bore
à travers le high-κ, comme cela a été observé par plusieurs auteurs: Al 2 O3
[Park2000c], ZrO2 [Park2002b], HfO2 et silicate [Liu2002] résultant en une perte
de mobilité et un déplacement de la tension de seuil (VT). Liu et al. ont proposé un
modèle théorique qui prévoit la diffusion du bore par les joints de grain (plutôt qu'à
travers le volume) du HfO2 poly-cristallin [Liu2002]. Cette prédiction a été
confirmée par des résultats SIMS (Figure 1. 29). Il est également montré que la
pénétration du bore est plus facile dans le silicate d'Hf que dans le SiO2 à EOT
équivalente (après RTA à 1050°C pendant 60 secondes) [Quevedo-Lopez2002a] et
qu'une couche barrière en SiON sous le film Al2 O3 permettait de bloquer
efficacement la pénétration du bore dans Si [Park2000c].
Figure 1. 29 Profils de bore de l'empilement Si-poly/HfO 2 /Si après recuit à 900°C 60 s, à
1025°C 10 s et sans recuit (d’après [Liu2002])
De même qu'à l’interface high-κ/substrat Si, il peut se produire une réaction
entre la grille et le high-κ formant ainsi une couche interfaciale à l'interface grille/
high-κ. Par exemple, Perkins et al. rapportent la formation de siliciure de Zr
lorsqu'une grille en Si-poly est déposée par CVD sur ZrO2 , ce qui n'est pas observé
pour un dépôt PVD. La cause pourrait être liée à l'atmosphère réductrice et à la
température plus élevée pendant le dépôt CVD. Park et al. ont, eux aussi, observé la
CHANG Youjean - Thèse
60
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
formation de ZrSix après recuit à 900°C à l’interface Si-poly/ZrO2 , provoquant une
augmentation du courant de fuite [Park2002b]. Par ailleurs, les interfaces grille Sipoly/ZrSix et grille Si-poly/HfSix montrent une bonne stabilité électrique
[Gopalan2002]. La formation d’une couche d’AlSix Oy à l’interface avec une grille
Al par réaction avec la couche de silicate ZrSi x a été observée par EELS après recuit
à 1050°C, 20 secondes contrairement à la grille Si-poly [Muller2001].
Une couche barrière a parfois été introduite en dessous ou au-dessus du highκ afin d'améliorer la stabilité de l’empilement. Cho et al. décrivent une amélioration
des caractéristiques thermiques (morphologie, température de cristallisation) et
électriques (courant de fuite, hystérésis, tension de bande plate, EOT) soit en
insérant une fine couche de HfN (~0,6 nm) en dessous d’HfO2 soit par nitruration
du substrat [Cho2002d]. Une fine couche d'Al2 O3 placée entre la grille Si-poly et
HfO2 a permis de réduire le courant de fuite de plus d'un facteur de 104 par rapport
aux empilements Si-poly/HfO2 et Si-poly/SiO2 [Gilmer2002]. Ces résultats nous
indiquent que l’optimisation du procédé est aussi importante pour l’intégration que
la sélection du matériau de grille et du high-κ.
1.5
Conclusions
Dans ce premier chapitre nous avons passé en revue la problématique de
l’utilisation future du SiO2 comme diélectrique de grille et la nécessité d'introduire
de nouveaux matériaux high-κ. Au vu de plusieurs limitations (courant de fuite trop
élevé, limite fondamentale du matériau etc..), le remplacement de SiO2 par les
diélectriques high-κ semble inévitable pour continuer l’évolution de la
microélectronique. Parmi les propriétés à satisfaire, la stabilité thermique et
électrique de l’interface high-κ/Si est essentielle, de même que la compatibilité du
high-κ avec les autres étapes du procédé technologique.
La procédure de fabrication de high-κ (préparation du substrat, dépôt de
couche diélectrique, recuit..) influence fortement les propriétés de high-κ. Les
résultats physico-chimiques se focalisent sur la stabilité thermique de la couche
interfaciale. La formation et (ou) la re-croissance d’une couche interfaciale (SiO2 ou
silicate de high-κ ou les deux) entre le high-κ et le Si est observée pour presque
tous les matériaux high-κ. En ce qui concerne les résultats électriques, les high-κ
montrent un courant de fuite réduit d’un facteur 102 ~ 105 par rapport à SiO2 à
même EOT. Par contre la densité des états d’interface est toujours plus élevée que
pour SiO2 (10 11 ~10 12 eV-1 cm-2 ) selon le matériau et les conditions d’élaboration. Le
déplacement de VFB dû aux charges fixes dans l’oxyde est observé dans certains cas.
Les première réalisations d’intégration de high-κ dans le transistor avec une grille
Si-poly ou métallique montrent des caractéristiques de transistors satisfaisantes.
CHANG Youjean - Thèse
61
Chapitre I – Introduction : limite de SiO 2 et les matériaux high- κ
Néanmoins la réduction de mobilité des électrons dans le canal et le déplacement de
VFB restent des points à résoudre.
Avant de présenter les résultats de caractérisation obtenus sur les trois
matériaux high-κ étudiés, Al2 O3 , HfO2 , SrTiO3 , nous allons dans le chapitre suivant
décrire les techniques d’élaboration et de caractérisation mises en œuvre.
CHANG Youjean - Thèse
62
Chapitre 2
Elaboration des échantillons et
techniques de caractérisation
CHANG Youjean - Thèse
Chapitre II – Elaboration des échantillons et techniques de caractérisation
2> Elaboration des échantillons et
techniques de caractérisation
2.1
Introduction
La première partie de ce chapitre présente les méthodes d’élaboration des
couches diélectriques que nous avons étudiées, ainsi que les préparations de surface
avant dépôt ; celles-ci peuvent en effet influer de façon significative sur les
propriétés physico-chimiques et électriques des couches. Nous décrirons également
divers traitements thermiques effectués après le dépôt ainsi que la procédure de
métallisation utilisée pour réaliser une structure de capacité MOS.
Dans la deuxième partie, nous décrirons les techniques de caractérisations
physico-chimiques (XRD, XPS, FTIR, HRTEM, SIMS, AES, ERDA, AFM) et
électriques (sonde mercure, caractéristiques courant-tension, capacité-tension,
conductance-tension) qui ont été utilisées pour l’analyse de nos échantillons.
2.2
Préparation des échantillons
La plupart des couches diélectriques étudiées ont été obtenues par dépôt
chimique en phase vapeur (CVD) : CVD par couche atomique pour Al2 O3 et HfO2 ,
CVD organométallique à injection pour SrTiO3 .
2.2.1
Elaboration des couches diélectriques Al2 O3 et HfO2 par ALD
2.2.1-1 Généralités sur le dépôt en phase vapeur par couche atomique
Le dépôt en phase vapeur par couche atomique (ALCVD ou ALD –
aujourd’hui le terme ALD est le plus couramment utilisé dans la littérature) est une
évolution du dépôt en phase vapeur conventionnelle (CVD). L’ALD est basée sur
une croissance monocouche par monocouche à partir de réactions chimiques
contrôlées par la surface; par conséquent le dépôt est effectué avec une précision de
l’ordre de la couche atomique. Chaque couche atomique est formée dans un
processus séquentiel, qui est le résultat de réactions chimiques saturées sur la
surface. L’adsorption chimique, c’est-à-dire la réaction chimique entre le précurseur
volatil et la surface, est assurée en choisissant soigneusement la température de
CHANG Youjean - Thèse
64
Chapitre II – Elaboration des échantillons et techniques de caractérisation
réaction et les précurseurs. Les propriétés essentielles requises pour un précurseur
sont : 1) une pression en vapeur raisonnable à la température de réaction 2) une
bonne réactivité avec la surface avec laquelle il réagit 3) la surface formée après la
première phase de réaction doit être réactive avec le seconde réacteur
[Suntola1994]. Les doses de précurseurs utilisées doivent être suffisantes pour
réaliser la saturation de la surface.
Pour la croissance de composés binaires tels que les oxydes métalliques, le
cycle de réaction se décompose en deux étapes : dans une première phase, le
précurseur renfermant l’espèce métallique (A) réagit avec la surface jusqu’à la
saturation de la réaction, vient ensuite la réaction avec le précurseur chargé en
oxygène (B) (Figure 2. 1 (a)).
substrat
substrat
substrat
substrat
substrat
substrat
AX(g) injection
injection
AX(g)
purge
purge
BY(g) injection
injection
BY(g)
purge
purge
A
X
B
B
YY
AX(g) +
+ BY(g)
BY(g) =
= AB(s)
AB(s) +
+ XY(g)
XY(g)
AX(g)
(a)
phase de
réaction
Précurseur A
purge A
purge B
temps
temps
1 cycle de
réaction
(b)
Figure 2. 1 (a) Croissance d’une couche du composé AB par ALD. Le précurseur gazeux AX
est injecté jusqu’à saturation à la surface puis l’on purge le réactif. Le précurseur gazeux
chargé en oxygène BY(g) est injecté et l’on purge le réactif BY(g). (b) les étapes de
l’injection et la purge en fonction du temps (d’après [Suntola1994])
CHANG Youjean - Thèse
65
Chapitre II – Elaboration des échantillons et techniques de caractérisation
Entre chaque phase de réaction, une purge de gaz inerte est appliquée pour
enlever l'excès de précurseur et les sous-produits de la réaction. Un cycle de
réaction d’ALD est alors composé par deux phases de réaction de chaque précurseur
et du temps de purge entre chaque phase de réaction (Figure 2. 1 (b)).
Les avantages de l’ALD par rapport au dépôt CVD conventionnel sont
résumés dans le Tableau 2. 1. L'épaisseur des couches peut être contrôlée de façon
précise en comptant le nombre de cycles de réaction, ce qui permet la croissance
des couches ultra-minces [Gusev2000]. Les précurseurs sont adsorbés à la surface
jusqu’à saturation, ce qui conduit à une croissance de films stœchiométriques avec
une grande uniformité et conformité, même sur des surfaces complexes tels que les
« vias » et les « tranchées », comme illustré par exemple par Ritalla et al. qui
montrent la croissance d'Al2 O3 dans des tranchées profondes [Ritala1999]. La
croissance monocouche-par-monocouche permet également de passer d’un matériau
à un autre de façon abrupte. Celle-ci est donc parfaitement adaptée pour faire croître
des films composés de plusieurs éléments tels que les « nanolaminates » ou les
oxydes.
Aspects
CVD conventionnel
ALD
Génération de particule
Occurrence facile
Contrôle facile
Couverture de marche
Bonne
Excellente (> 95%)
Difficile (contrôle par temps
Facile
de dépôt)
nombre de cycles)
Température du dépôt
Haute
Basse
Uniformité
Bonne
Excellente
Contrôle de l’épaisseur
(contrôle
par
le
Tableau 2. 1 Comparaison entre CVD conventionnel et ALD
2.2.1-1-1 Description des conditions de dépôt des couches d’Al2 O3
Les films minces d'oxyde d'Aluminium ont été déposés au CEA/LETI de
Grenoble [Gosset2000] par ALD sur un substrat Si (100) 200 mm de type p
faiblement dopé, à 300°C dans un réacteur PulsarTM 2000 (ASM microchemistry
Ltd) (Figure 2. 3 ). Les précurseurs utilisés sont le triméthylaluminum (TMA,
Al(CH3 )3 ) et H2 O de grande pureté. Le pulsarTM 2000 fonctionne par un système
« flow-type hot wall », à pression réduite d’environ 7 torr. Le TMA fabriqué chez
Morton International est amené à l’intérieur du réacteur par un gaz vecteur d'azote.
Les réactions mises en jeu lors de la croissance d’Al2 O3 par TMA et H2 O se
décomposent en deux demi-réactions qui ont été étudiées par plusieurs auteurs
[Lakomaa1996], [Ott1997], [Yun1997]. Les réactions suivantes constituent l’un
CHANG Youjean - Thèse
66
Chapitre II – Elaboration des échantillons et techniques de caractérisation
des mécanismes possibles proposés par Dillon et al. avec le schéma présenté à la
Figure 2. 2 [Dillon1995] :
2Al(CH3 )2 + 3H2 O → Al2 O3 + 6 CH4 :
(a) Al-OH* + Al(CH3 )3 (g) → Al-O-Al(CH3 )2 * + CH4 (g)
(b) Al-O- Al(CH3 )2 * + 2H2 O (g) → Al-O-Al(OH)2 * + 2CH4 (g)
* : espèces en surface
La molécule TMA réagit avec l’hydroxyle OH en surface pour former Al-OAl(CH3 )2 ou (Al-O)2 -Al-CH3 (Figure 2. 2 (a)). Ensuite H2 O réagit avec ces espèces
en surface et forme des hydroxyles d’Al (Al-OH) (Figure 2. 2 (b)).
Les réactions entre TMA et H2 O sont fortement exothermiques, et peuvent
devenir extrêmement violentes lorsqu’elles ne sont pas maîtrisées. Par contre,
lorsque les conditions sont correctement contrôlées, ces réactions qui ont une
barrière d’activation faible, sont idéales pour le dépôt de diélectriques à basse
température [Higashi1989]. Les durées d’injection du TMA et de H2 O sont de 0,3
seconde et la durée de purge est d’une seconde pour TMA et de 2 secondes pour
H2 O.
(a)
CH3
2Al(CH3)3
(b)
O
Al
Al
Al
Al
+ 3CH4 (g)
Al
O
OH
Al
CH3
Al
OH
OH
CH3
O
Al
+ 3CH4 (g)
3H 2O
CH3
CH3
Al
Al
OH
CH3
Al
Al
OH
Al
OH
Al
Figure 2. 2 Mécanisme de croissance d’Al2 O 3 par les deux demi-réactions de (a)TMA et (b)
H 2 O (d’après [Dillon1995])
CHANG Youjean - Thèse
67
Chapitre II – Elaboration des échantillons et techniques de caractérisation
Figure
2.
3
Machine
du
dépôt
ALD
fabriquée
chez
ASM
Microchemistry
(d’après
[Martin2001])
2.2.1-1-2 Description des conditions de dépôt des couches d’HfO2
Les couches d’HfO2 sont déposées par ALD sur un substrat de 200 mm de Si
(100) de type p faiblement dopé à 350°C dans le même réacteur qu’Al 2 O3 (Figure 2.
3 ) [Damlencourt2002a]. Les précurseurs sont le chlorure d’hafnium (HfCl 4 ) et H 2 O
introduits alternativement sur la surface. La vitesse de dépôt d’HfO2 est de 0,06 nm
par cycle, inférieure à celle d’Al2 O3 (0,09 nm par cycle) [Martin2001].
2.2.2
Dépôt chimique en phase vapeur aux organo-métalliques à injection
La MOCVD (Metalorganic Chemical Vapour Deposition) est une technique
de synthèse de matériaux des semi-conducteurs basée sur la réaction chimique de
produits particuliers appelés précurseurs organo-métalliques, dans une phase
vapeur. Les précurseurs sont transportés dans la chambre du réacteur par
l’intermédiaire d’un gaz porteur. La température élevée de la chambre décompose
les précurseurs, et les atomes libérés se recombinent en formant un composé semiconducteur qui se dépose sur le substrat.
(RO-M1 )gazeux + (R’O-M2 )gazeux → (M1 OM2 )solide sur le substrat + (by-products) gazeux
Pour nos études de SrTiO3 nous adoptons une technique innovante : la
« MOCVD à injection ». Cette technique est particulièrement intéressante pour le
dépôt de films minces grâce à un injecteur spécial qui est capable de contrôler
précisément l’injection d’une très faible quantité de précurseur [Dubourdieu2003],
[Dubourdieu2002], [Dubourdieu2001]. De plus, elle est compatible avec une
industrialisation rapide. Les films ont été élaborés au LMGP de l’INPG à Grenoble.
CHANG Youjean - Thèse
68
Chapitre II – Elaboration des échantillons et techniques de caractérisation
2.2.2-1 Description des conditions du dépôt des couches de SrTiO3
Nous avons employé deux familles de précurseurs pour le dépôt de SrTiO3 . Le
premier, consiste en un mélange de deux précurseurs classiques : Sr(thd)2 triglyme
+ Ti(OiPr)2 (thd)2 , (avec thd = tri (2,2,6,6 -tetraméthyl -3,5 -heptanedionate), OiPr =
isopropoxy, i.e. O-CH2 -CH2 -CH3 , et triglyme = triéthylène glycol diméthyl ether ).
La solution stockée dans le réservoir renferme les deux précurseurs, dans une
certaine proportion qui est ajustée expérimentalement pour obtenir la bonne
stœchiométrie 1:1 en Sr/Ti dans la couche déposée. Le deuxième, un nouveau
précurseur bimétallique Sr2 Ti2 (OiPr)8 (thd)4 fabriqué par l’équipe de « Précurseurs
Moléculaires de Matériaux Inorganiques (PREMAT) » à Institut de Recherches sur
la Catalyse (IRC) non commercialisé a été choisi pour avoir un meilleur contrôle de
la stœchiométrie, les deux espèces métalliques étant apportées par le même
précurseur.
Le système d'injection (Figure 2. 4 ) est maintenu sous gaz inerte (Ar) et à
température ambiante, afin d'éviter la décomposition des précurseurs. Une
surpression d'Ar (P = 1,4 bar) est imposée dans ce système.
Vanne
Vanne
Injecteur
Flux
d’argon
Réservoir de
précurseurs
liquides ou
solides dilués
CHANG Youjean - Thèse
Commande électrique qui contrôle :
- la fréquence
- la durée d’ouverture
- le nombre de goutte injecté
69
Chambre
d’évaporation
Chapitre II – Elaboration des échantillons et techniques de caractérisation
Figure 2. 4 Schéma du système d’injection et les injecteurs
Les gouttes injectées (constituées du solvant et des 2 précurseurs), sont
évaporées dans une chambre d'évaporation, maintenue à 280°C. L'évaporation est
obtenue sous l'effet conjugué de la température et de la basse pression régnant dans
la chambre (quelques torrs, mais cela varie constamment au cours du dépôt à cause
de l'injection séquentielle de la solution) et elle est quasi instantanée. Les quantités
de précurseurs injectées sont contrôlées par différents paramètres : la pression
différentielle entre le système d'injection et la chambre d'évaporation, le temps
d'ouverture de l'injecteur, la concentration des précurseurs dans la solution, la
fréquence d'injection, ainsi que la viscosité et la densité de la solution. Ce gaz
vecteur/actif balaye la chambre d'évaporation et la chambre de croissance. Il
véhicule les vapeurs d'organométalliques jusqu'au substrat qui est chauffé à haute
température par un mur chaud (chauffage par rayonnement). La décomposition des
précurseurs a lieu au niveau de la surface du substrat et l’O2 participe à la
croissance.
Les paramètres de dépôt (épaisseur, stœchiométrie, morphologie et
croissance) sont contrôlés par plusieurs paramètres : la quantité de solution injectée,
la nature des précurseurs et du solvant (caractéristiques physico-chimiques), la
température du substrat, la nature et le débit des gaz vecteur/actif, la pression totale
dans la chambre de croissance, les pressions partielles des espèces réactives etc.
Pour nos échantillons, la pression moyenne dans la chambre de croissance était de 5
torr pour les précurseurs classiques et de 2 torr pour le précurseur bimétallique. La
Figure 2. 5 présente le schéma du réacteur de dépôt.
CHANG Youjean - Thèse
70
Chapitre II – Elaboration des échantillons et techniques de caractérisation
Enceinte en
quartz
Mur Chaud
Chambre
De
Dépôt à
700°C
Vanne
Pompe à
vide
Chauffage à
induction
Suscepteur
Buse avec
une grille qui
diffuse les
flux gazeux
Substrat de
silicium
Système d’injection
Commande électrique
Ar
Capteur de pression et
thermocouple
Chambre
d’évaporation
à 280°C
O2
Ar
Vannes qui
régulent les
flux
Figure 2. 5 Schéma du réacteur de dépôt
2.2.3
Traitements de surface effectués avant le dépôt : préparation du substrat
Comme nous l’avons vu dans le premier chapitre, la présence d’une couche
contrôlée d’oxyde interfaciale peut affecter notablement la stabilité finale de
l'interface. Pour analyser cet effet, les films diélectriques ont été déposés sur
différents types de préparation de surface du substrat de silicium.
2.2.3-1 Substrat désoxydé par une solution de HF
Dans ce cas, les substrats sont nettoyés chimiquement dans une solution de
HF diluée à quelques pourcents pour éliminer l’oxyde natif. Dans la suite, nous
appellerons ce traitement « HF-last ». La surface préparée de cette manière est
connue pour être dépourvue de contamination, les atomes de silicium en surface
étant passivés avec de l'hydrogène actif [Higashi1989]. De plus si la plaque est
rincée à l’eau, la passivation en hydrogène est totale et dure longtemps (environ 200
heures).
2.2.3-2 Substrat oxydé par une couche de SiO2
CHANG Youjean - Thèse
71
Chapitre II – Elaboration des échantillons et techniques de caractérisation
2.2.2-2-1 Oxyde thermique
Le substrat peut être oxydé directement par un procédé d’oxydation sèche
classique. Pour faire croître une couche SiO2 thermique on effectue en général un
traitement chimique oxydant (type ozone) puis le silicium est monté en température
d’oxydation autour de 800°C [Damlencourt2002a]. Désormais ce type de substrat
sera dénommé « SiO2 thermique». Dans le procédé standard, l’épaisseur de SiO2 est
de 12 Å, Dans certains cas, cette couche de SiO2 a été amincie par gravure chimique
dans une solution de HF très diluée jusqu’à atteindre 5 Å. L’épaisseur finale est
contrôlée grâce à une courbe de cinétique préalablement établie et par une mesure
du temps de gravure.
2.2.2-2-2 Oxyde chimique
Une couche SiO2 a été réalisée par une autre méthode : une oxydation liquide
par des solutions oxydantes (type pour les DDC, ammoniaque + eau oxygénée + eau
pour le SC1, HCl + eau oxygénée + eau pour les SC2) [Damlencourt2002b]. Le
second procédé utilisé consiste en une oxydation chimique du substrat de silicium
par une solution de type ozonée (à base de O3 /HCl). Cette procédure sera appelée
« SiO2 chimique».
2.2.3-3 Impact sur la croissance d’Al2 O3
Pour les conditions de dépôt telles que définies précédemment, le taux de
croissance d'Al2 O3 mesurée par ellipsométrie au LETI est de 0,09 nm par cycle. Un
retard à la nucléation a été démontré lorsque la croissance a lieu directement sur un
substrat HF-last, contrairement à un dépôt sur substrat oxydé. Il est évalué à 4
cycles de TMA/H2 O [Gosset2000]. Cet effet a été rapporté dans la littérature par
Tsai. et al. qui ont observé un taux de croissance d’Al2 O3 sur un substrat Si HF-last
particulièrement lent jusqu’à 20 cycles d’ALD alors que pour Al 2 O3 déposé sur une
surface de silicium terminée par des liaisons OH, la croissance initiale d’Al 2 O3 est
plus rapide [Tsai2002].
2.2.3-3-1 Croissance d’Al2 O3 sur le substrat Si HF-last
La vitesse de croissance des couches d’Al2 O3 était 0,9 Å/cycle et elle est
proportionnelle au nombre de cycles du dépôt. Une vitesse similaire de croissance
CHANG Youjean - Thèse
72
Chapitre II – Elaboration des échantillons et techniques de caractérisation
sur le HF-last Si par ALD a été observée par plusieurs auteurs [Kim1997],
[Ott1997], [Tsai2002], [Nohira2002].
50
Epaisseur (A)
40
30
20
10
0
10
20
30
40
50
Nombre de cycles
500
5
400
4
300
3
200
2
100
1
0
0,0
Uniformité (%)
Epaisseur (A)
(a)
0
0,1
0,2
0,3
0,4
0,5
Temps de pulse H2O (s)
(b)
Figure 2. 6 La vitesse de croissance d’Al2 O 3 sur substrat HF-last (a) en fonction du
nombre de cycles TMA/H2 O (b) en fonction du temps de pulse H2 O (d’après [Martin2001])
La Figure 2. 6 donne la vitesse de croissance d’Al 2 O3 sur un substrat Si traité
HF-last. Au début du dépôt il y a un temps d’incubation d’environ 4 cycles (Figure
2. 6 (a) ). Ce retard est le temps nécessaire pour créer des liaisons OH à la surface
du substrat, liaisons qui permettent la chimisorption du TMA. La Figure 2. 6 (b)
montre l’épaisseur et l’uniformité des couche d’Al2 O3 en fonction des pulses de
H2 O. La vitesse de croissance est rapide au départ puis diminue avant de saturer
avec le temps de pulse. La saturation est atteinte lorsqu’un régime stationnaire est
CHANG Youjean - Thèse
73
Chapitre II – Elaboration des échantillons et techniques de caractérisation
obtenu, c'est-à-dire que la quantité de sites de nucléation en surface de la couche
n+1 est égale à la quantité de sites « des groupements OH » à la surface de la
couche n elle-même égale à la quantité de sites en surface de la couche n-1.
L’uniformité a été obtenue par le calcul (((max-min)/2)/moyenne)x100
[Damlencourt2002a]. Après 50 cycles de TMA/H2 O l’épaisseur d’Al2 O3 et de 4,07
nm.
2.2.3-3-2 Croissance d’Al2 O3 sur une couche SiO2
Alors que le dépôt sur une surface de Si HF-last a besoin de quelques cycles
d’incubation, la vitesse de croissance d’Al2 O3 sur une couche SiO2 thermique ou
chimique est égale à 0,9 Å/cycle dès le premier cycle. La nucléation plus facile sur
la SiO2 est du à la quantité d’OH plus nombreuse sur la surface par rapport au
substrat HF-last [Green2002]. La vitesse de croissance sur une surface HF-last ou
oxydée devient identique lorsque la quantité de sites de nucléation en surface est
suffisante. Par ailleurs on aurait pu s'attendre à voir quelque différence entre SiO2
chimique et SiO2 thermique puisque une surface oxydée chimiquement devrait avoir
une densité de OH en surface supérieure à celle oxydée thermiquement, qui perd le
groupe OH pendant le recuit. Cependant, les vitesses de croissance obtenues sont
égales. Un résultat similaire a été observé par Tsai et al., qui notent une vitesse de
croissance identique sur des substrats HF-last, le SiO 2 (thermique ou chimique) et le
SiOx Ny après 50 cycles d’Al2 O3 [Tsai2002].
2.2.4
Traitements thermiques post dépôt
Afin d’étudier la stabilité thermique des couches, certains échantillons ont
subi un traitement thermique après dépôt. Trois types de recuit ont été testés :
•
•
•
le recuit thermique rapide (RTA) effectué sous N2 à différentes températures
comprises entre 450°C et 1000°C pendant 15 ou 30 minutes
le recuit sous forming gaz (FG) N2 /H2 (5% ou 10% H2 ) à 425°C pendant 30 ou
60 minutes. Ce type de recuit est utilisé comme recuit final dans un procédé
CMOS standard et a pour effet de passiver les pièges situés à l’interface
Si/oxyde ou dans l’oxyde [Robertson2002]. Il intervient après un premier
recuit RTA standard sous N2 .
le recuit « spike » : ce recuit très rapide (quelque secondes) et à très haute
température (> 900°C) est employé comme recuit d’activation des dopants
dans le procédé MOS. Il est réalisé dans un four RTA avec une contamination
d’oxygène inférieure à 5 ppm.
CHANG Youjean - Thèse
74
Chapitre II – Elaboration des échantillons et techniques de caractérisation
2.2.5
Etape ultime de métallisation
En dehors des études de caractérisation à la bille de mercure, qui sont menées
directement après le dépôt du diélectrique sans nécessiter de technologie
supplémentaire, nous avons réalisé sur certains échantillons, des structures de
capacités MOS en définissant des plots métalliques à la surface du high-κ.
Dans une première phase, nous avons utilisé une technique de lithographie
disponible au Laboratoire de Physique de la Matière (LPM) à INSA de Lyon. Celleci consiste en trois étapes : 1) métallisation par évaporation d’Al de 2500 Å sur la
couche d’Al2 O3 2) dépôt de résine et photolithographie puis gravure de l’Al par une
solution acide 3) recuit à 450°C pendant 30 minutes sous hydrogène. Cette
technique un peu lourde à mettre en œuvre risque d’abîmer et de contaminer les
échantillons. En plus elle n'a pas permis de donner les résultats escomptés
(reproductibilité, qualité de l'interface high k/métal...) et a été abandonnée par la
suite.
Nous avons préféré utiliser une procédure de métallisation directe par
évaporation d'Al ou d'Au à travers un masque métallique composé d'ouvertures
circulaires dont le diamètre est de 500 µm (surface=1,96 × 10-3 cm2 ). Nous avons
parfois introduit une fine couche d'accrochage de Ti ou de Cr (100 Å) avant le dépôt
d'Al, afin d'améliorer l’adhérence du métal sur le diélectrique. L’épaisseur du métal
déposé se situe entre 200 et 300 nm.
2.3
Techniques de caractérisation physico-chimiques et morphologiques
Le travail présenté dans ce mémoire a été mené en collaboration directe avec
plusieurs équipes de chercheurs spécialisées dans l'élaboration des diélectriques et
leur caractérisation structurale et physico-chimique. Ceci nous a permis de
bénéficier, pour les échantillons que nous avons étudiés, d'un grand nombre de
données issues de techniques de caractérisations variées. Nous donnerons, cidessous, pour les principales d'entre elles, une description rapide. Nous
développerons davantage les deux techniques que nous avons utilisées au LPM, à
savoir le FTIR et le HRTEM.
2.3.1
Analyse par diffraction des rayons X (XRD)
CHANG Youjean - Thèse
75
Chapitre II – Elaboration des échantillons et techniques de caractérisation
L'analyse de la diffraction des rayons X (XRD) nous permet de connaître
l’orientation cristalline. Elle a été effectuée en mode d'incidence rasante à l'aide
d'un appareillage PHILIPS X'PERT. L'échantillon est positionné à 0,5° par rapport
au rayon incident. Le détecteur tourne autour de l’axe 2θ à une vitesse de 0,05°/5 s.
[Gosset2001b].
2.3.2
Spectroscopie infrarouge à transformée de Fourier (FTIR)
La spectroscopie infrarouge à transformée de Fourier (FTIR) est une
technique optique, rapide et non destructive utilisée largement dans l'industrie des
semi-conducteurs. La FTIR présente de nombreux avantages: sensibilité et précision
élevée, rapidité des mesures, possibilités de traitement numérique étendues. Il faut
ajouter à cela les avantages spécifiques de la technique: la caractérisation de
matériaux en couches minces ou épaisses, la détermination des impuretés légères
pour la mise en évidence des liaisons dans lesquelles ces impuretés sont impliquées.
Dans le cas de nos échantillons, les mesures ont été effectuées au moyen d’un
spectromètre NICOLET 800 au LPM à l’INSA de Lyon : la gamme spectrale étant
l’infrarouge moyen (400-4000 cm-1 ) avec un détecteur DTGS et une séparatrice KBr
enrobée Ge. Le mode adopté pour les mesures est la transmission avec une
résolution de 4 cm-1 .
Figure 2. 7 Schéma du spectromètre NICOLET 800
2.3.3
Microscopie électronique en transmission à haute résolution (HRTEM)
La connaissance de la structure du matériau est une étape essentielle pour
comprendre les mécanismes comme la croissance cristalline ou bien l’évolution de
CHANG Youjean - Thèse
76
Chapitre II – Elaboration des échantillons et techniques de caractérisation
la structure sous l’effet de différentes contraintes (déformation, température). La
HRTEM permet de visualiser les arrangements cristallins à l’échelle atomique
[Thibault-Desseaux1987]. Cette technique permet donc de répondre à un certain
nombre de question que l’on se pose à propos de la structure des matériaux car
l’information apportée (une image) est locale.
Les images HRTEM ont été obtenues en collaboration entre le LPM et le
CLYME (Centre Lyonnais de Microscopie Electronique) de l'INSA de Lyon d'une
part et le LPM et l’Institut des Matériaux à Nantes (IMN) d'autre part. La
microscopie du CLYME est un JEOL 2010F équipé d'un pistolet d'émission de
champ et actionné à 200 kV dont la résolution point par point est de 0,19 nm. Le
coefficient d'aberration de sphéricité de l’appareil (Cs) est de 0,5 mm avec une
défocalisation égale ou légèrement inférieure à celle de Scherzer (∆zSch =-(λCs)1/2 λ,
longueur d’onde : défocalisation avec laquelle on obtient le meilleur réglage). Le
grandissement réel des images est vérifié à l'aide de l'analyse des tâches de
diffraction correspondant au substrat mono-cristallin dans le diffractogramme
obtenu par le calcul FFT (Fast Fourier Transform). Les échantillons de section
transverse ont été préparés par amincissement mécanique jusqu’à une épaisseur de
~30 µm puis par amincissement ionique (PIPS Gatan, 2,2 keV, angle 8°).
Les images réalisées à Nantes l'ont été à partir d'un Microscope Hitachi
HNAR9000 (V=300 kV) avec une résolution point à point de 0,18 nm. Le Cs est de
0,7 mm. Les échantillons ont été préparés en section transverse par un
amincissement mécanique suivi d’un amincissement ionique à basse tension (3 kV).
2.3.4
Spectroscopie de photoélectrons de rayons X (XPS)
La spectroscopie de photoélectrons de rayons X (XPS) est une technique
d'analyse de surface qui est utilisée pour caractériser la composition de la surface
après différents traitements (nettoyage, attaques chimiques, passivation etc...). Il est
alors possible par exemple de mesurer la quantité d’impuretés résiduelles à la
surface, de préciser l’épaisseur et d’évaluer la nature d’un film d’oxyde résiduel.
En parrticulier l’XPS à détection angulaire variable (ARXPS) est une
technique de haute sensibilité en surface, qui convient pour analyser des liaisons
chimiques et l’épaisseur de couche interfaciale. L’ARXPS a été exécutée à l'aide
d'un spectromètre de type S-Probe (Surface Science Instrument) avec un
rayonnement monochromatique d'AlKα (1486,6 eV) pour enregistrer des spectres Si
2p, O 1s, Al 2p, Hf 4f [Renault2002a], [Renault2002c]. L’ARXPS est une
technique de haute sensibilité en surface (quelques nm) et permet de faire varier la
profondeur d’analyse en ajustant l’angle de détection des photoélectrons (appelé
« take off angle » couramment). Pour HfO2 très mince (0,6 et 2,5 nm) un autre type
CHANG Youjean - Thèse
77
Chapitre II – Elaboration des échantillons et techniques de caractérisation
de XPS, SRXPS (Synchrotron Radiation XPS) avec une source de rayons X plus
légère et contrôlable que les sources conventionnelles a été effectué au CEA-Saclay.
L’angle de détection est de 90° par rapport à la surface de l’échantillon
[Renault2002b].
2.3.5
Spectroscopie Auger (AES)
Dans l’AES, un faisceau d'électrons excite des électrons Auger qui sont
caractéristiques des matériaux en surface. La technique est adaptée à l’analyse de la
composition en profondeur. L’AES a été effectué avec un système de type PHI 670
"Scanning Auger Nanoprobe" au GPS de Université Paris 6. L'énergie du faisceau
primaire est de 10 KeV et le courant de 10 nA. Des profils en profondeur ont été
effectués en utilisant un faisceau d'Ar+ d'énergie 2KeV. La surface de la zone
analysée est de 2,5 × 2,5 mm2 [Gosset2001a].
2.3.6
Analyse par détection des atomes de recul (ERDA)
La contamination de l’hydrogène dans le film a été détectée par la technique
ERDA. Pour la technique ERDA, on injecte une particule incidente d’énergie E0 qui
provoque une collision élastique avec un atome cible initialement au repos.
L’énergie se répartit entre les deux corps. En plaçant le détecteur vers l’avant et en
adoptant une direction d’incidence du faisceau éloignée de la normale à la surface
de l’échantillon, on peut détecter les atomes de recul éjectés près de la surface de la
cible par les particules incidentes, quelle que soit l’épaisseur de l’échantillon étudié.
Cette méthode d’analyse de l’hydrogène est très sensible, elle permet de détecter
des concentrations inférieures à 0.1 % atomique et des couches de surface de moins
de 1015 atomes par cm2 [Agius1990]. L'analyse ERDA a été effectuée avec des
particules alpha à 1,9-2 MeV accélérées par les accélérateurs linéaires Van de
Graaff à 2,5 MV du CEA-Grenoble et de GPS-Paris [Gosset2001a], [Gosset2001b].
2.3.7
Spectroscopie de masse des ions secondaires (SIMS)
Dans le SIMS, un faisceau d’ions de faible énergie sert à pulvériser les
atomes extérieurs dans le vide où les fragments ionisés sont directement détectés à
l'aide d'un spectromètre de masse. L'analyse de ces fragments permet d'accéder à
leur composition chimique. C’est une technique de haute sensibilité (généralement
dans le gamme du ppm) qui fournit une analyse de l’échantillon en profondeur avec
une bonne résolution [Walls1987].
CHANG Youjean - Thèse
78
Chapitre II – Elaboration des échantillons et techniques de caractérisation
Les mesures SIMS ont été effectuées avec un analyseur CAMECA IMS-5f en
utilisant la méthode MCs2 + [Hollinger2001]. La source d'ions Cs+ a été accélérée
sous une tension de 2,5 kV (ou 5 kV) avec un courant primaire de ~10 nA
[Gosset2001a], [Gosset2001b]. La tension secondaire positive d'extraction d'ions
est de 1,5 kV (3 kV) donnant une énergie d’impact efficace de 1 keV (2 keV) et un
angle d'incidence de 50° (48°). Tous les signaux positifs d'ions secondaires ont été
détectés sur les masses MCs2 (M= H, C, O, OH, Al, Si) et mesurés avec un système
de détection à multiplication d’électrons.
2.3.8
Microscopie à force atomique (AFM)
La microscopie à force atomique fonctionne en mesurant les forces entre une
sonde et l'échantillon. Ces forces dépendent de la nature de l'échantillon, la distance
entre la sonde et l'échantillon, la géométrie de sonde et la contamination extérieure
de l'échantillon. L'AFM convient aussi bien aux échantillons conducteurs
qu‘isolants. L'instrument se compose d'un cantilever et d’une pointe montée sur son
extrémité. La sensibilité verticale dépend de la longueur du cantilever. Pour la
formation d’une image topographique, la pointe est mise en contact continu ou
intermittent avec l'échantillon et balaye la surface témoin [Schroder1998].
2.4
Techniques de caractérisation électrique
Les méthodes de caractérisation électrique utilisées sont les suivantes :
•
•
•
mesures courant-tension (I-V)
mesures capacité-tension (C-V)
mesures conductance-tension (G-V) et conductance-fréquence (G-ω)
Les mesures ont été effectuées au LPM soit directement sur les films
diélectriques à l'aide d'une sonde à bille de mercure, soit sur des structures MOS à
partir d'un système de tests sous pointes ou montées dans un cryostat.
2.4.1
Bille de mercure
La bille de mercure est un instrument de précision qui permet des
caractérisations électriques rapides, pratiques et non destructives des échantillons
semi-conducteurs. Le mercure forme un contact ponctuel de diamètre bien défini à
la surface du matériau à étudier. Sur une surface oxydée, ce contact métallique peut
CHANG Youjean - Thèse
79
Chapitre II – Elaboration des échantillons et techniques de caractérisation
être employé comme grille pour former une structure de capacité MOS et permettre
la caractérisation rapide d'oxydes; sur un semi-conducteur, il peut être utilisée pour
former une barrière Schottky [MDC1982]. La Figure 2. 8 illustre différents modèles
de bille de mercure et la configuration d'un contact par anneau de mercure.
(b)
(a)
Figure 2. 8 Bille de mercure fabriquée chez Materials Development Corporation (a)
modèles de la série 811 (b) configuration du contact avec le diamètre de mercure de 793
µm. La goutte de mercure est équivalente à la grille et l’anneau au substrat. (d’après
http://www.mdc4cv.com)
Bien que relativement fiable, principalement pour les mesures de capacités,
cette méthode contribue néanmoins à amplifier les effets de dispersion en fréquence
en régime d'accumulation. La Figure 2. 9 illustre un exemple de caractéristique C-V
et G-V mesurée par bille de mercure pour un film d'Al2 O3 de 12 nm d'épaisseur
déposé sur 0,5 nm de SiO2 thermique. Les causes de cette dispersion sont
essentiellement dues à l’effet de la résistance série (Rs) et surtout à une interface
supérieure entre la goutte de mercure et l’oxyde de mauvaise qualité.
0,02 kHz
0,1 kHz
1 kHz
10 kHz
-9
2,5x10
-9
Capacité (F)
2,0x10
-9
1,5x10
-9
1,0x10
-10
5,0x10
0,0
-2
-1
0
(a)
CHANG Youjean - Thèse
1
V (V)
80
2
3
Chapitre II – Elaboration des échantillons et techniques de caractérisation
-5
3,0x10
0,02 kHz
0,1 kHz
1 kHz
10 kHz
-5
Conductance (S)
2,5x10
-5
2,0x10
-5
1,5x10
-5
1,0x10
-6
5,0x10
0,0
-2
-1
0
1
2
3
Vg (V)
(b)
Figure 2. 9 Dispersion en fréquence observée par bille de mercure sur Al2 O 3 de 12 nm
d'épaisseur déposé sur 0,5 nm de SiO 2 thermique (a) C-V (b) G-V
De façon classique, la dispersion en fréquence est attribuée à un effet de
résistance série [Nicollian1992]. La résistance série est déterminée par la mesure à
haute fréquence parce que l’impédance complète Z varie seulement à cause de la
résistance série :
Rs =
Gm
2
Gm
2
+ C m ω2
Equation 2. 1
Où Gm et Cm sont la conductance et la capacité mesurée respectivement à une
fréquence ω. Dans notre cas, ce traitement simple est insuffisant pour corriger
correctement le phénomène de dispersion.
Garros et al. ont travaillé [Garros2002a] pour résoudre cette dispersion en
fréquence avec les mesures C-V. Ils ont développé un nouveau modèle de
correction. Le modèle classique n’est plus valide parce que la dispersion en
fréquence n’est plue due seulement à la résistance série mais aussi à la réponse
d’une capacité parasite à l'interface entre le mercure et le diélectrique. Le point
important de cette modélisation est de considérer l'effet parasite induit par la couche
interfaciale entre le diélectrique et la goutte de mercure sur la réponse en fréquence
de la structure. Les trois composantes de l’impédance complète Z sont : 1) la
couche interfaciale de capacité Cp et de conductance Gp en parallèle et en série 2)
l’impédance dans le semi-conducteur (Zos) 3) la résistance série (Rs).
CHANG Youjean - Thèse
81
Chapitre II – Elaboration des échantillons et techniques de caractérisation
(a)
(b)
(c)
Figure 2. 10 Modèle équivalent petit signal d'une structure Hg/diélectrique en régime
d'accumulation (a) impédance complète Z avec Rs ≠0 (b) impédance équivalente Z eq avec
R s =0 (c) impédance parallèle équivalente Z m mesurée (d’après [Garros2002a])
Comme la résistance série est nulle aux basses et moyennes fréquences,
l’impédance équivalente du circuit, Zeq correspond à l’impédance complète, Z
(Figure 2. 10 (b)).
Ce modèle est convenable à condition que la conductance de la couche
interfaciale soit plus importante que celle du diélectrique, dans ce cas les valeurs
Cm, Gm obtenues à basse fréquence sont identiques à C high-k et Ghigh-k respectivement.
En minimisant la différence entre Z et Z m (∆Z=0) dans l’intervalle de fréquence,
l’impédance complexe de l'interface, (Gp +jCp ω)-1 est obtenue par :
∆Z = Z m −
G High −k
1
1
− Rs −
+ jC High −k
G p + jC p ω
Equation 2. 2
Enfin la capacité corrigée Cc(Vg ) est la suivante :
−1
⎤ ⎫⎪
1 ⎧⎪⎡
1
C c (Vg ) = Im⎨⎢ Z m (Vg ) − R s −
⎥ ⎬
ω ⎪⎢⎣
G p + jC p ω ⎥⎦ ⎪
⎩
⎭
CHANG Youjean - Thèse
82
Equation 2. 3
Chapitre II – Elaboration des échantillons et techniques de caractérisation
4,0E-09
20 Hz
100 Hz
1 kHz
100 kHz
3,5E-09
3,0E-09
C (F)
2,5E-09
2,0E-09
1,5E-09
1,0E-09
5,0E-10
0,0E+00
-3
-2
-1
0
1
2
3
4
Vg (V)
(a)
4,0E-09
20 Hz
100 Hz
1 kHz
10 kHz
3,5E-09
3,0E-09
C (F)
2,5E-09
2,0E-09
1,5E-09
1,0E-09
5,0E-10
0,0E+00
-3
-2
-1
0
1
2
3
4
Vg (V)
(b)
Figure 2. 11 C-V caractéristiques sur p-type Hg-MOS structure avec Al2 O 3 diélectrique de
grille mesuré par sonde mercure (a) non corrigées obtenues (b) après correction avec le
model proposé par Garros et al.
La Figure 2. 11 montre les caractéristiques C-V avant et après la correction de
dispersion en fréquence proposé par Garros et al. En appliquant ce modèle aux
courbes C-V de la Figure 2. 11 (a), obtenues sur une couche de Al2 O3 (~12 nm, sur
substrat HF-last), nous obtenons une très bonne correction des effets de dispersion
(Figure 2. 11 (b)). Par la suite, nous avons pris soin d'effectuer des mesures à très
basse fréquence (20 ou 100 Hz) pour s'affranchir au mieux de ces dispersions en
fréquence, notamment pour la détermination des valeurs de Cox et EOT associées ou
d'effectuer ces corrections lorsque cela s'est avéré nécessaire dans l'analyse des
courbes.
CHANG Youjean - Thèse
83
Chapitre II – Elaboration des échantillons et techniques de caractérisation
2.4.2
Système sous pointes
Nous avons utilisé un système de caractérisation « sous pointe » pour les
mesures électriques des structures MOS à électrodes métalliques. Ce système
connecte le banc à mesurer et les échantillons en utilisant des pointes posées sur
l’échantillon. L’échantillon est posé directement sur le chuck.
2.4.2-1 Bancs de manipulation
La Figure 2. 12 est un schéma du banc de mesure électrique utilisé. Les
appareils de mesure sont pilotés par un PC et reliés à l’échantillon par les câbles.
Les appareils de mesure sont les suivants : HP 4140B pA meter/DC voltage source,
HP 4156B pour la mesure I-V et HP 4192A LF impédance analyser, HP 4284 pour
les mesures C-V, G-V.
Le logiciel de l’acquisition est « ICS » développé par MATRIX. L’ICS est un
progiciel qui permet de contrôler l’instrumentation, acquérir et analyser les data.
Figure 2. 12 Schéma du banc de mesure électrique
2.4.2-2 Comparaison des résultats C-V mesurés par sonde de mercure et sous-pointe
Nous avons comparé les caractéristiques C-V effectuées par sonde de mercure
et sous pointe sur un même film d’Al2 O3 (Figure 2. 13). La valeur de la capacité a
été normalisée (capacité/capacité maximale en accumulation, Cox ).Les allures de CV sont identiques dans les trois cas, par contre, ce qui est plus surprenant, c’est de
constater que la courbe mesurée par sonde de mercure est la plus décalée vers les
tensions positives alors que le travail de sortie du mercure se situe entre celui de
l’Al et celui de l’Au (Hg : 4,53 eV, Al : 4,28 eV, Au : 5,1 eV) [Neaman1992]. Une
CHANG Youjean - Thèse
84
Chapitre II – Elaboration des échantillons et techniques de caractérisation
raison de ce décalage serait due aux charges négatives présentes à l’interface entre
le mercure et l’oxyde. En effet Jones et Corbett ont montré la dégradation de
contacts Schottky Si à cause d’une contamination par le mercure à la surface du Si
[Jones1989]. La hauteur de barrière des diodes contaminées par le mercure était
plus élevée que celle des diodes évaporées et le courant de fuite plus élevé. Nous
reviendrons sur ce point dans le chapitre suivant.
Capacité/Cox
1,0x10
Au plot
Al plot
sonde mercure
0
8,0x10
-1
6,0x10
-1
4,0x10
-1
2,0x10
-1
0,0
-3
-2
-1
0
1
2
3
Vg (V)
Figure 2. 13 Comparaison des mesures par sonde mercure et sous pointe sur les
échantillons métallisés avec Au, Al à 100 Hz
2.5
Conclusions
Ce chapitre a permis de présenter les méthodes de l’élaboration des
échantillons. Les échantillons ont été déposés par deux méthodes CVD et recuits
sous différentes conditions.
Les techniques de caractérisation physico-chimiques et électriques utilisées
ont été présentées. En particulier le problème soulevé par l’utilisation d’une sonde
de mercure dans les résultats C-V a été évoqué.
Dans le chapitre suivant, nous allons aborder les résultats obtenus sur la
caractérisation du matériau Al2 O3 déposé par ALD.
CHANG Youjean - Thèse
85
CHANG Youjean - Thèse
Chapitre 3
Al2O3 diélectrique à
permittivité modeste
CHANG Youjean - Thèse
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
3> Al2O3 diélectrique à permittivité modeste
3.1
Introduction
Ce chapitre présente les résultats physico-chimiques et électriques des
couches d’Al 2 O3 obtenues par ALD. Nous nous sommes d’abord intéressés à la
stabilité thermique d’Al2 O3 : la structure, la température de cristallisation, la
composition, la re-croissance de la couche interfaciale, en fonction de différentes
préparations de surface du substrat et de conditions de recuits. Ainsi qu’à la
caractérisation de l’interface entre Al2 O3 et Si. En rassemblant tous les résultats
physico-chimiques nous proposons des mécanismes de croissance de la couche
interfaciale. Dans une seconde partie, nous exposerons les propriétés électriques de
Al2 O3 (courant de fuite, CET, constante diélectrique, charges et défauts) en fonction
des conditions de préparation de surface et des recuits.
1
Ci-après, nous présentons brièvement les échantillons étudiés. Le Tableau
est un récapitulatif des principaux échantillons étudiés dans ce chapitre.
Epaisseur
3.
Type de substrat
Remarque
Si HF-last
Recuit supplémentaire sous forming gaz
Al 2 O 3 visée
~12 nm
à 450°C, recuit « spike » à 950°C
SiO 2 thermique (0,5 nm)/Si
SiO 2 chimique (0,7 nm)/Si
~4 nm
Recuit « spike » à 950 et 1050°C
HF-last Si
Recuit supplémentaire sous forming gaz
et N 2 à 425°C
SiO 2 thermique (0,5 nm)/Si
SiO 2 chimique (0,7 nm)/Si
Tableau 3. 1 Récapitulatif des échantillons. Chaque série comprend quatre échantillons
standard, sauf la série de 12 nm Al2 O 3 /SiO 2 chimique qui ont subi des recuits « spike ».
Certains échantillons ont subi un recuit supplémentaire à basse température.
Nous disposons de films de trois épaisseurs différentes : 45 nm, 12 nm et 4 nm,
l’étude électrique ayant surtout portée sur les deux dernières. Al2 O3 est déposé sur
différents typse de surface de substrat : soit sur substrat désoxydé par une solution
de HF (substrat HF-last), soit sur substrat comportant une couche de SiO2 thermique
ou chimique. Chaque type de substrat comprend quatre échantillons standards : 1) la
référence (dépôt brut d’Al2 O3 , sans recuit 2) l’échantillon recuit à 800°C pendant 15
CHANG Youjean - Thèse
88
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
minutes sous N2 3) l’échantillon recuit à 800°C pendant 30 minutes sous N2 4)
l’échantillon recuit à 1000°C pendant 15 minutes sous N2 exception faite pour la
série de 12 nm Al2 O3 sur SiO2 chimique qui ne comprend que des échantillons ayant
subi un recuit « spike ». Un recuit supplémentaire à basse température est parfois
effectué pour étudier les effets sur la passivation des défauts.
3.2
Propriétés physico-chimiques
Dans cette partie nous présentons les propriétés physico-chimiques des
couches d’Al 2 O3 de différentes épaisseurs. Nous étudions particulièrement les effets
de recuit dans différentes conditions et les effets de différents types de substrat sur
les propriétés de la couche interfaciale entre Al2 O3 et Si à l’aide de différentes
techniques de caractérisation. Nous commençons l’étude sur les couches épaisses.
3.2.1
Couches épaisses d’Al2 O3 (140 cycles d’ALD, ~12 nm)
3.2.1-1 Structures des couches d’Al2 O3 par XRD, FTIR, HRTEM
Nous avons effectué des analyses XRD, FTIR et HRTEM dans le but
d’observer d’éventuels changements de phase amorphe-cristalline en fonction de la
température de recuit. Pour des questions de sensibilité et de résolution des
techniques de caractérisation, les études XRD et FTIR ont été effectuées sur des
échantillons épais de 45 nm. Nous avons également regardé l'impact de la
préparation de surface sur l'état de cristallinité de la couche.
3.2.2-1-1
XRD
L'évolution de la structure des couches d’Al 2 O3 en fonction de la température
de recuit a été analysée par diffraction de rayon X (Figure 3. 1 ). Les couches
d'Al2 O3 sont déposées sur substrat HF-last et ont une épaisseur de 40 nm.
L’échantillon référence avant recuit est amorphe. Après un recuit à 800°C pendant
15 minutes, la couche reste amorphe, alors que pour un recuit plus long (30
minutes), on commence à voir apparaître un pic indiquant le début de cristallisation
de la couche. Ce pic devient de plus en plus visible à mesure que la température de
croissance augmente. Nous pouvons donc conclure que la température de transition
de la phase amorphe à la phase cristalline se situe autour de 850°C, ce qui confirme
les données de la littérature. Johnson et al. ont observé le caractère amorphe
d’Al 2 O3 jusqu’à 800°C [Johnson2001] puis la cristallisation à 900°C après 30
CHANG Youjean - Thèse
89
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
secondes de recuit. Afanas’ev et al. ont observé la cristallisation après 10 minutes
de recuit à 900°C [Afanas'ev2002b].
La phase cristalline d’Al2 O3 a été identifiée comme monoclinique (a=11,795
Å, b=2,91 Å, c=5,621 Å et B=103,79°). Des informations supplémentaires sur cette
phase sont données dans la carte JCPDS (le numéro de pattern 86-1410) d’ASTM
(American Society for Testing and Materials) que l’on peut consulter dans l’annexe
de ce manuscrit. Il faut noter que la cristallisation à 800°C pendant 30 minutes n’est
pas complète si l’on compare la surface des pics cristallins de cet échantillon avec
celle des pics des échantillons recuits à une température supérieure à 800°C. Tandis
que la température de transition de phase est similaire quelsque soient les auteurs la
phase cristalline d’Al2 O3 déposé sur substrat Si HF-last diffère selon les méthodes
de dépôt et suivant les conditions de recuit : on trouve une phase corundum pour
100 nm d’Al 2 O3 déposé par PECVD après recuit à 900°C [Johnson2001] et une
phase cubique d’Al2 O3 (a=7,9 Å) déposé par ALD après recuit à 900°C
[Afanas'ev2002b].
Intensité (a.u.)
monoclinic Al2O3
Si substrat
1000°C, 15min, N2
900°C, 15min, N2
850°C, 15min, N2
800°C, 30min, N2
800°C, 15min, N2
Référence
20
30
40
50
60
70
80
2θ (°)
Figure 3. 1 Spectres XRD d’une couche d’Al2 O 3 de 40 nm (d’après [Gosset2001b])
3.2.2-1-2
FTIR
Sur les mêmes échantillons que ceux étudiés précédemment par XRD, nous
avons regardé l’évolution du degré de cristallisation d'Al2 O3 en fonction de la
température de recuit à partir de mesures de FTIR en transmission (Figure 3. 2 ).
Aucun changement n'est visible entre le spectre du film de référence et celui de
l’échantillon recuit à 800°C pendant 15 minutes : la structure amorphe d'Al2 O3 se
manifeste par un pic principal élargi autour de 710 cm-1 . De la même façon
qu'observé en XRD, après 30 minutes de recuit à 800°C le changement de phase est
évident avec l'apparition de trois nouveaux pics mieux résolus à 516, 713 et 785 cm1
. Au-dessus de 850°C, les couches sont complètement cristallisées : les pics
correspondant à la phase cristalline d'Al 2 O3 sont localisés à 520, 730 et 803 cm-1 .
CHANG Youjean - Thèse
90
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
Johnson et al. ont observé la même évolution des spectres sur l’échantillon déposé
par PECVD après recuit à 900°C [Johnson2001].
0,05
730 cm-1
803 cm-1
520 cm-1
Absorbance (u.a.)
0,04
0,03
(vi) 1000°C,15min
(v) 900°C,15min
0,02
0,01
(iv) 850°C,15min
(iii) 800°C,30min
(ii) 800°C,15min
(i) Référence
0,00
900
800
700
600
-1
500
400
Nombre d'onde (cm )
Figure 3. 2 Spectres FTIR des échantillons de 40 nm d’Al2 O 3 déposé sur substrat HF-last
Cette même étude a été effectuée sur des couches de 12 nm d'épaisseur
déposées soit sur substrat HF-last, soit sur substrat oxydé, d'une part pour vérifier
que la température de cristallisation ne varie pas avec l'épaisseur, et d'autre part
pour voir s'il y a une influence de la préparation de surface.
Bien que les spectres FTIR obtenus sur les couches Al 2 O3 de 12 nm déposées
sur substrat HF-last (Figure 3. 3 (a)) soient un peu plus bruités que les précédents
(ce qui montre la limite de sensibilité de la technique en transmission pour les
couches minces), les tendances sont identiques : Al 2 O3 reste amorphe (pic à 700 cm1
) pour un recuit à 800°C pendant 15 minutes, alors que le début de la cristallisation
apparaît clairement pour un recuit à 800°C pendant 30 minutes (pics à 508, 715 et
800 cm-1 ).
Contrairement aux couches d'Al2 O3 sur substrat HF-last, les spectres FTIR
d'Al2 O3 déposées sur SiO2 montrent des phases amorphes et partiellement
cristallisées présentes simultanément à partir de 800°C : pics à 700 cm-1 pour la
phase amorphe et à 510 et 800 cm-1 pour la phase cristallisée (Figure 3. 3 (b)). Sur
substrat oxydé, la cristallisation semble donc incomplète même après un recuit à
1000°C.
CHANG Youjean - Thèse
91
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
800 cm-1
0,030
508 cm-1
715 cm-1
Absorbance (u.a.)
(v) 1000°C,15min
0,025
(iv) 900°C,15min
(iii) 800°C,30min
0,020
(ii) 800°C,15min
(i) Référence
900
800
700
600
500
400
500
400
-1
Nombre d'onde (cm )
(a)
0,035
700 cm-1
510 cm-1
Absorbance (u.a.)
800 cm-1
0,030
(iv) 1000°C,15min
(iii) 800°C,30min
0,025
(ii) 800°c,15min
(i) Référence
0,020
900
800
700
600
-1
Nombre d'onde (cm )
(b)
Figure 3. 3 Spectres FTIR des échantillons de 12 nm d’Al2 O 3 déposé sur (a) substrat HFlast et (b) une couche de 0,5 nm SiO2 thermique
3.2.2-1-3
HRTEM
Ces changements structuraux des couches d’Al 2 O3 ont également été analysés
par HRTEM. Les observations ont porté sur les couches décrites précédemment, de
12 nm d'épaisseur sur substrat HF-last ou oxydé avec pour double objectif : 1)
visualiser le début de la cristallisation 2) estimer l'épaisseur de la couche
interfaciale et suivre son évolution sous l'effet des recuits. Nous nous sommes
intéressé à l'étude de couches plus minces (~5 nm d'épaisseur).
La Figure 3. 4 montre des images HRTEM de section transverse (coupe) de
couches minces d’Al2 O3 déposées sur substrat HF-last (Figure 3. 4 (a), (b), (c)) ou
CHANG Youjean - Thèse
92
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
sur SiO2 thermique (Figure 3. 4 (d)). L’échantillon de référence avant recuit (Figure
3. 4 (a) ) montre une structure totalement amorphe sans indication d'ordre ou de
matériau cristallin, ni dans le volume de la couche d’Al2 O3 ni à l'interface Al2 O3 /Si.
L'interface d'Al2 O3 /Si est désordonnée et trop mince pour qu’il soit possible d’en
mesurer son épaisseur. L'épaisseur moyenne de la couche d’Al2 O3 est de 12,4 nm.
Figure 3. 4 Clichés de HRTEM en coupe transverse des échantillons d’Al 2 O 3 de 12 nm
déposés sur substrat HF-last (a) référence sans recuit et (b) recuit à 800°C pendant 30
minutes sous N 2 (c) recuit à 1000°C pendant 15 minutes, et (d) déposé sur une couche de
0,5 nm SiO 2 thermique recuit à 800°C pendant 30 minutes sous N2 . La zone encerclée
représente une région cristallisée.
Comme nous l'avons vu à partir des résultats XRD et FTIR, le film d'Al 2 O3
déposé sur une surface HF-last commence à cristalliser après un recuit à 800°C
pendant 30 minutes. Le début de cette cristallisation est clairement illustré sur la
Figure 3. 4 (b) : bien que la phase du film reste toujours principalement amorphe,
de petites zones cristallisées sont visibles dans le volume d’Al 2 O3 (cf. la zone
encerclée Figure 3. 4 (b)). Ces régions cristallisées ont une forme étendue, parallèle
à l’interface ; leur longueur et largeur maximales sont de 10 et 5 nm respectivement,
et leur taux de recouvrement se situe autour de 10~20 % du volume total du film.
On peut également noter la formation d’une couche interfaciale cristallisée entre le
substrat et Al2 O3 : contrairement à l’échantillon de référence, la couche interfaciale
montre une direction constante partout, qui est identique à celle des zones
cristallisées en volume. Dans ce cas, l'épaisseur moyenne de la couche interfaciale
peut être estimée à 1,4 nm et l'épaisseur totale de la couche d’Al2 O3 , incluant la
couche interfaciale est de 12,55 nm.
Après un recuit à 1000°C pendant 15 minutes, comme déjà prédit par les
résultats de FTIR, le film d'Al2 O3 est complètement cristallisé (Figure 3. 4 (c))
selon une orientation préférentielle. L’épaisseur de la couche interfaciale et de la
couche d’Al2 O3 comprenant la couche interfaciale est de 1,3 et 12,46 nm
CHANG Youjean - Thèse
93
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
respectivement. La Figure 3. 4 (d) montre une image HRTEM en section transverse
d'Al2 O3 sur 0,5 nm de SiO2 thermique recuit à 800°C pendant 30 minutes. Aucune
évidence de cristallisation n'est observée sur cet échantillon. De plus, la couche
interfaciale ne présente que peu de zones orientées, contrairement au dépôt sur
substrat HF-last pour lequel l'interface était presque entièrement cristallisée (cf.
Figure 3. 4 (b) ). L'épaisseur moyenne de la couche interfaciale est de 1,5 nm pour
cet échantillon et la couche d’Al2 O3 comprenant la couche interfaciale est de 12,3
nm.
Ces observations nous amènent à conclure que la présence d'une couche de SiO 2
intermédiaire avant le dépôt a pour effet de retarder la cristallisation de la couche d'Al2 O 3
ainsi que de la couche interfaciale. L’épaisseur de la couche interfaciale et Al2 O 3
comprenant la couche interfaciale de la Figure 3. 4 sont résumées dans le
Tableau 3. 2 .
Dans ce tableau on remarque que l’épaisseur de la couche
interfaciale pour tous les échantillons après recuit est similaire. La température de
recuit n’influence pas beaucoup la re-croissance.
Al 2 O 3 /HF-last Si
Al2 O 3 /SiO 2
Référence
800°C, 30 min
1000°C, 15 min
800°C, 30 min
CI
X
1,4
1,3
1,5
CI+Al 2 O 3
12,4
12,55
12,46
12,3
Tableau 3. 2 Comparaison de l’épaisseur (nm) de la couche interfaciale et Al2 O 3
comprenant la couche interfaciale mesurée par HRTEM entre ~12 nm Al2 O 3 /HF-last Si et
Al2O3/0,5 nm SiO 2 thermique (CI : couche interfaciale, x : manque de valeur)
3.2.1-2 Analyse de la composition des couches d'Al2 O3 par SIMS et ERDA
Nous allons terminer la caractérisation des couches épaisses d'Al2 O3 en nous
attardant sur quelques résultats de profils SIMS donnant la composition de ces
couches et leurs évolutions sous l'effet des recuits. Ainsi, l’ERDA nous montre
l’évolution de la quantité d’hydrogène en fonction du recuit.
La Figure 3. 5 présente les profils SIMS de couches d’Al2 O3 d'épaisseur
~45nm (500 cycles TMA/H2 O d’ALD) déposées sur substrat HF-last avant recuit et
après recuit à 800°C et 1000°C. Sur les profils avant recuit, on peut voir une zone
perturbée qui s'étend sur environ 5 nm près de l'interface, caractérisée par des pics
de concentration d'hydrogène, d'hydroxyles et d'Al. On note également une quantité
constante d’hydrogène et d’hydroxyle dans le volume d'Al2 O3 . Après recuit, il y a
une re-distribution d’éléments près de l’interface ainsi qu'une réduction
significative de la concentration d'hydrogène et d'hydroxyle dans le volume. Il est
CHANG Youjean - Thèse
94
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
probable que durant le recuit l’hydrogène part sous forme d’H2 O après réaction avec
OH. On note ainsi la diminution de concentration d’Al à l’interface après recuit. De
ce fait, nous pouvons supposer que l’interface Al 2 O3 /Si n’est pas abrupte c'est-àdire qu’il y a une réaction entre Al2 O3 et Si. Krug et al. ont observé la migration des
éléments O, Al et Si après recuit à 700°C sous ambiance d’oxygène avec une
formation de silicate [Krug2000]. Par ailleurs Guha et al. ont observé une diffusion
d’Al dans Si après recuit à 900°C pendant 30 secondes. Ils proposent d’expliquer
les résultats par une dissociation d’Al2 O3 en petite quantité et une dissolution
subséquente d’Al dans Si, ce qui est possible thermodynamiquement [Guha2002],
[Guha2002].
Figure 3. 5 Profils en profondeur de SIMS
réalisés sur des échantillons de 45 nm Al 2 O 3 (a)
référence sans recuit (b) recuit à 800°C et (c) à
1000°C pendant 15 minutes sous N 2 , déposé
sur substrat Si HF-last (d’après [Gosset2002])
Des analyses ERDA effectuées sur les couches d’Al 2 O3 de 45 nm déposées
sur HF-last montrent que 35% de l'hydrogène présent dans la couche après dépôt
disparaissait après un recuit à 800°C pendant 15 minutes alors que ce taux avoisine
les 100% après un recuit à 1000°C, l’hydrogène résiduel provenant de
contaminations à la surface de l’air. ( Figure 3. 6 (a)). Concernant une couche
d'Al2 O3 de 12 nm (Figure 3. 6 (b)), la quantité d'hydrogène diminue d'un facteur
huit après un recuit à 900°C pendant 15 minutes par rapport à l’échantillon de
référence avant recuit.
CHANG Youjean - Thèse
95
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
(a)
(b)
Figure 3. 6 Spectres ERDA présentant les effets de recuit sous N2 sur la contamination de
H, réalisés pour les échantillons de (a) 45 nm (d’après [Gosset2001a]) et (b) 12 nm
Al 2 O 3 , déposé sur le HF-last Si (d’après [Gosset2001b])
Finalement, il est intéressant de constater qu'après un recuit de type "spike"
(Figure 3. 7 ) à 1050°C, la quantité d’hydrogène et d’hydroxyle en volume diminue
dans des proportions comparables aux recuits RTA. On note toutefois une
modification beaucoup plus limitée des profils d'H, OH et Al dans la zone proche de
l'interface (cf. Figure 3. 5 ). Le rapport O/Al n’a pas changé. La concentration d’Al
est plus élevée à l’interface que dans le volume et il y a une migration légère de Si
vers l’oxyde. Les spectres XRD donnés en encart des spectres SIMS indiquent
qu'après un recuit de type "spike", les couches d'Al2 O3 sont cristallisées.
10
6
O
10
5
10
4
10
6
10
5
10
4
10
3
10
2
10
1
10
0
(a)
(b)
O
Si
Si
10
2
10
1
10
0
Al
Al
OH
40 45 50 55 60 65 70 75 80
2θ
0
4
CHANG Youjean - Thèse
8
Epaisseur (nm)
12
H
OH
Intensity (a.u.)
3
C/s
10
Intensity (a.u.)
C/s
H
40 45 50 55 60 65 70 75 80
2θ
0
16
96
4
8
Epaisseur (nm)
12
16
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
10
6
10
5
10
4
10
3
(c)
O
Si
C/s
Al
Figure 3. 7 Profils SIMS en profondeur de
couches d'Al 2 O 3 déposées sur une couche de
H
3.2.2
2
10
1
10
0
16
OH or
17
O
0,7
Intensity (a.u.)
10
4
de
SiO 2
chimique
après
recuit
« spike » (a) référence sans recuit (b) recuit
à 950°C et (c) recuit à 1050°C (d’après
40 45 50 55 60 65 70 75 80
2θ
0
nm
8
Epaisseur (nm)
12
[Gosset2001b])
16
Couches minces d’Al2 O3 (50 cycles ALD, ~4 nm)
3.2.2-1 Structures des couches d’Al2 O3 par HRTEM
Nous avons observé par HRTEM des couches d’Al 2 O3 plus minces (50 cycles
TMA/H2 O) en nous intéressant principalement à l'évolution de la couche
interfaciale sous l'effet d'un recuit à 800°C pendant 15 minutes pour les trois types
de préparation de surface : HF-last, SiO2 thermique et SiO2 chimique. Ces
observations pourront ensuite être confrontées à des résultats sur la composition de
cette couche interfaciale, déduits de mesures XPS.
La Figure 3. 8 montre des clichés de HRTEM de couches d’Al 2 O3 déposé sur
substrat HF-last. Les deux échantillons, références sans recuit et après recuit à
800°C pendant 15 minutes sont amorphes, en cohérence avec nos précédentes
observations sur les couches plus épaisses ( Figure 3. 4). On note toutefois, une forte
rugosité de la couche interfaciale entre Si et Al 2 O3 , principalement sur l’échantillon
de référence (Figure 3. 8 (a)). De telles rugosités ont déjà été observées pour ZrO 2
déposé sur substrat HF-last [Copel2000], [Perkins2001a]. Cela nous montre que le
début de la croissance est difficile et inhomogène sur ce type de substrat en raison
du manque de liaison OH au début du cycle du dépôt. La couche interfaciale est
cependant trop mince pour pouvoir en estimer son épaisseur comme dans le cas de
l’échantillon de 12 nm. L’épaisseur totale d'Al2 O3 est de 5,3 nm.
Après recuit à 800°C (Figure 3. 8 (b)), on observe une forte re-croissance de
la couche interfaciale entre SiO2 et Al2 O3 . On peut estimer son épaisseur à 2,5~2,6
nm, celle de la couche d’Al2 O3 est de 3,6~3,9 nm. Cela nous confirme qu’une bonne
partie d’Al2 O3 a été transformée en couche interfaciale durant le recuit.
CHANG Youjean - Thèse
97
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
(a)
(b)
Figure 3. 8 Clichés de HRTEM en coupe transverse d'échantillons d’Al 2 O 3 de 4 nm déposés
sur substrat Si HF-last (a) référence sans recuit et (b) recuit à 800°C pendant 15 minutes
sous N 2
La Figure 3. 9 présente les clichés HRTEM d’Al2 O3 déposé sur 0,5 nm de
SiO2 thermique. Avant recuit, toute la couche est amorphe sans orientation
préférentielle. La présence de la couche de SiO2 améliore le contraste de la couche
interfaciale. Son épaisseur totale est de 1,7~1,8 nm, celle d’Al2 O3 de 5,8~6 nm. La
couche interfaciale a donc légèrement augmenté pendant le dépôt d’Al2 O3 . On peut
remarquer également que l’épaisseur d’Al2 O3 est un plus élevée que celle obtenue
sur substrat HF-last, confirmant ainsi le retard à la nucléation sur HF-last.
(a)
(b)
Figure 3. 9 Clichés de HRTEM en coupe transverse d'échantillons d’Al 2 O 3 de 4 nm déposés
sur une couche de 0,5 nm de SiO2 thermique (a) référence sans recuit et (b) recuit à
800°C pendant 15 minutes sous N2
Après recuit à 800°C, 15 minutes (Figure 3. 9 (b)), l’épaisseur de la couche
interfaciale n’a pas augmenté : 1,6~1,7 nm tandis que celle de la couche d’Al2 O3 a
diminué de 5,8~6 à 3,8~4,1 nm, elle reste néanmoins toujours amorphe. Avec des
couches d’Al 2 O3 plus épaisses (~100 nm) déposées par ALD une réduction de 10%
de l’épaisseur après recuit à 900°C pendant 30 minutes a été observée par rapport
CHANG Youjean - Thèse
98
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
aux références [Ericsson1997]. Les couches ont été partiellement cristallisées après
recuit. L’origine de cette réduction de l’épaisseur serait due à élimination de
l’hydrogène en excès et du groupe hydroxyle comme nous l’avons confirmée par
SIMS et ERDA (cf. Figure 3. 5 , Figure 3. 6 ).
La Figure 3. 10 présente les clichés d’Al2 O3 déposé sur 0,7 nm de SiO2
chimique, référence et recuit à 800°C. Le contraste de la couche d’Al2 O3 n’est pas
uniforme pour les deux échantillons : il est plus foncé sur la partie supérieure. Cela
indique que l’Al2 O3 près de l’interface Al 2 O3 /SiO2 est composé de silicate. Pour
l’échantillon référence la couche de SiO2 a peu varié pendant le dépôt d’Al2 O3 .
Pourtant elle a augmenté après recuit à 800°C jusqu’à 1,4 nm.
(a)
(b)
Figure 3. 10 Clichés de HRTEM en coupe transverse d'échantillons d’Al 2 O 3 de 4 nm
déposés sur une couche de 0,7 nm de SiO2 chimique (a) référence sans recuit et (b) recuit
à 800°C pendant 15 minutes sous N2
L’épaisseur de la couche interfaciale et de l’Al2 O3 sont résumées dans le
Tableau 3. 3 .
Al 2 O 3 /HF-last Si
Réf
Recuit
Al2 O 3 /SiO 2 thermique
Réf
Recuit
Al2 O 3 /SiO 2 chimique
Réf
Recuit
CI
X
2,5-2,6
1,7-1,8
1,6-1,7
0,8-1
1,4-1,54
Al 2 O 3
5,3
3,6-3,9
5,8-6
3,8-4,1
4,8-5
3,47-3,7
Tableau 3. 3 Comparaison de l’épaisseur (nm) de la couche interfaciale et de l’Al2 O 3
mesurée par HRTEM entre Al2 O 3 /HF-last Si, Al 2 O 3 /0,5 nm SiO 2 thermique et Al 2 O 3 /0,7 nm
SiO 2 chimique (CI : couche interfaciale, x : manque de valeur)
La diminution de la couche d’Al2 O3 est conséquente pour tous les types de substrat.
Par contre la re-croissance de la couche interfaciale est différente : l’échantillon sur
substrat HF-last montre la plus importante re-croissance après recuit alors que celle
CHANG Youjean - Thèse
99
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
observée sur SiO2 thermique ne change pas. On peut donc en conclure que la recroissance de la couche interfaciale a lieu pendant le dépôt d’Al2 O3 pour le substrat
SiO2 thermique et pendant le recuit pour la surface HF-last et SiO2 chimique.
3.2.2-2 Analyse de la couche interfaciale par XPS et AES
Afin de compléter l'analyse HRTEM des couches minces d'Al2 O3 , en
particulier au niveau de l'épaisseur et de la composition de la couche interfaciale,
nous allons présenter quelques résultats issus d'une étude XPS menée sur ces
couches [Renault2002b]. Nous nous intéresserons particulièrement aux propriétés
de la couche interfaciale : la formation, la re-croissance et la composition, avant et
après recuit.
3.2.2-2-1
Propriétés des couches d’Al2 O3 épaisses
En guise de référence sur Al 2 O3 en volume, la Figure 3. 11 montre les
spectres XPS typiques des raies O 1s et Al 2p obtenus sur des échantillons épais
d’Al 2 O3 (45 nm) déposés sur substrat HF-last avant et après recuit à 800°C. La
symétrie et la position de la raie Al 2p (encart Figure 3. 11 (a)) montre qu’Al est
complètement engagé dans la liaison O-Al-O, sans preuve de la présence de liaison
Al-Al [Yang2000], [Kim2002]. La valeur de la différence d’énergie entre la raie O
1s et Al 2p (∆O, Al =O 1sBE–Al 2pBE) est de 456,7 eV qui est une valeur proche de
celle rapportée pour le saphir [Renault2002b].
La raie O 1s, possède quant-à-elle, deux composantes : la première, OI à
faible énergie liée à Al-O et la deuxième, OII liée à Al-O-H. La présence du groupe
Al-O-H provient de l’oxygène en excès pendant le dépôt, donnant une
stœchiométrie O/Al de 1,9. Après recuit à 800°C pendant 15 minutes sous N2 cette
composante OII disparaît et on retrouve une stœchiométrie de 1,5.
CHANG Youjean - Thèse
100
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
Figure 3. 11 Spectres O 1s et Al 2p (en encart) d'échantillons d'Al2 O 3 (45 nm d'épaisseur)
déposé sur substrat HF-last : (a) référence et (b) après recuit RTA à 800°C pendant 15
minutes sous N2 (d’après [Renault2002a])
3.2.2-2-2
Composition de la couche interfaciale
1) 4,5 nm Al 2 O 3 /HF-last
Regardons maintenant les spectres de la raie O 1s pour les films d’Al 2 O3
minces (~4,5 nm) déposés sur substrat HF-last (Figure 3. 12 (A)). En réduisant
l'angle de détection, c'est-à-dire en privilégiant la réponse de surface, on peut noter
une augmentation de l'intensité de la composante OII. Cela nous montre que le
groupe Al-O-H est en plus grande proportion près de la surface de l’échantillon
(Figure 3. 12 (A)(a)). Contrairement aux couches épaisses, les deux composantes
(OI et OII) restent présentes après recuit, même si l'intensité de la composante (OII,
groupe Al-O-H) diminue (Figure 3. 12 (A)(b)). La stœchiométrie d’O/Al après
recuit est de 1,57.
Afin d'analyser plus en détail la composition chimique de la couche
interfaciale, intéressons nous tout d’abord aux spectres Si 2p de l’interface
Al2 O3 /HF-last Si (Figure 3. 12 (B)). Pour l’échantillon de référence avant recuit
(Figure 3. 12 (B)(a)), on voit une composante située à 103 eV. Etant donné l'écart
avec la composante principale du substrat, Si0 (∆E=3,7 eV), celle-ci est attribuée à
Si4+ qui correspond à SiO2 et indique la présence d'une très fine couche de SiO2 à
l'interface. La formation de SiO2 serait due à l’oxydation de Si pendant la
CHANG Youjean - Thèse
101
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
croissance d'Al2 O3 . Contrairement à Klein et al. qui confirment une liaison Al-O-Si
par HRTEM, NRP et XPS [Klein1999] aucun trace de silicate n’a été observée pour
cet échantillon après dépôt.
Après le recuit (Figure 3. 12 (B)(b)), l’intensité de Si4+ augmente de façon
significative. Elle explique que l’origine principale de la forte croissance de la
couche interfaciale observée par HRTEM (cf. Figure 3. 8 ) est la croissance de SiO2 .
Il est important de noter également sur ce spectre, l'apparition d'une nouvelle
composante appelée « Si * », située entre les sous-oxydes Si 3+ et Si4+. Cette
composante a déjà été observée par plusieurs auteurs et serait attribuée à la liaison
silicate Al-O-Si [Green2001], [Klein1999], [Krug2000], [Copel2001b].
(A)
(B)
Figure 3. 12 Spectres O 1s (A) et Si 2p (B) pour Al2 O 3 d'épaisseur 4,5 nm, déposé sur
substrat HF-last (a) référence et (b) après recuit à 800°C pendant 15 minutes sous N 2.
Pour (B) l’angle (θ) est de 55° (d’après [Renault2002a])
Bien que les spectres XPS (Figure 3. 12 (B)(a)) permettent de vérifier la faible
présence de SiO2 , le spectre AES montre qu’à l’interface le signal de Si-O est
quasiment nul (Figure 3. 13). Par contre les signaux O, Al-O et Si sont tous
présents. De ce fait nous pouvons supposer que la fine couche interfaciale entre
Al2 O3 et Si n’est pas un type SiO2 pur mais plutôt SiO2 associé à Al2 O3
[Copel2001b], [Gosset2001a]. Après recuit on note l’apparition d’un signal Si-O
qui correspond à la re-croissance de SiO2 . Le déplacement de Si du substrat vers
CHANG Youjean - Thèse
102
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
l’oxyde peut être à l’origine de l’augmentation du signal Si-Si. On suppose que le
déplacement de Si pendant le recuit participe à la formation d’une couche de
silicate.
Figure 3. 13 Spectres AES pour
Al 2 O 3 d'épaisseur 4,5 nm, déposé
sur
substrat
HF-last
après
dépôt
sans recuit et après recuit à 800°C
(d’après [Gosset2001a])
2) 4,5 nm Al 2 O 3 /SiO 2
Maintenant nous nous intéressons à Al 2 O3 déposé sur une couche de SiO2
(thermique ou chimique). La Figure 3. 14 montre le spectre Si 2p d'un échantillon
d'Al2 O3 déposé sur une surface de SiO 2 thermique ainsi que celui d’une couche
seule de 0,5 nm de SiO2 thermique, servant de référence avant le dépôt d’Al2 O3 . On
peut tout d'abord noter que la composante Si* liée à la liaison silicate Al-O-Si
n’existe pas sur l’échantillon SiO2 (Figure 3. 14 (a)) mais qu'elle apparaît après le
dépôt d'Al2 O3 (Figure 3. 14 (b)). Cela nous montre bien que la formation de silicate
a lieu pendant le dépôt d’Al2 O3 . On remarque également que l'intensité de Si4+ est
réduite sur l'échantillon Al2 O3 au profit de la composante Si* , confortant ainsi son
attribution à la liaison silicate Al-O-Si [Moulder1995], [Klein1999].
Concernant l’Al2 O3 déposé sur une couche SiO2 chimique, la présence Al-O-H
des spectres O 1s a été observée ( Figure 3. 15) sur les deux échantillons référence et
recuit à 800°C pendant 15 minutes sous N2 comme nous l’avons vu avec les
échantillons sur substrat HF-last (cf. Figure 3. 12 (A)). Après recuit l’intensité
d’Al-O-H a diminué. La Figure 3. 16 montre les spectres Si 2p avant et après recuit.
Pour l’échantillon de référence, les composantes Si4+ et Si* qui correspondent à SiO2
et Al-O-Si respectivement, se présentent comme dans le cas de l’échantillon sur
SiO2 thermique (cf. Figure 3. 14 (b)). Après recuit les deux composantes existent
toujours. Tandis que l’intensité de Si * ne change pas, il y a une nette augmentation
de l’intensité de Si4+ qui correspond à la re-croissance de SiO2. Cette re-croissance
de SiO2 après recuit a aussi été observée sur substrat HF-last. On conclut qu’elle est
à l’origine de l’augmentation de la couche interfaciale que nous avons mise en
CHANG Youjean - Thèse
103
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
évidence par HRTEM. Dans le paragraphe suivant nous nous focalisons sur la
croissance de la couche interfaciale.
Figure 3. 14 Spectres Si 2p mesurés à θ=55° de (a) 0,5 nm de SiO 2 thermique et (b) 4,5
nm de Al2 O 3 sur 0,5 nm SiO2 thermique, référence sans recuit
(a)
Intensity (a.u.)
Al-O
Al-OH
540
538
536
534
532
530
528
526
528
526
Binding energy (eV)
Intensity (a.u.)
(b)
Al-O
Al-OH
540
538
536
534
532
530
Binding energy (eV)
Figure 3. 15 Spectres O 1s mesurés à θ=75° pour les échantillons 4,5 nm Al2 O 3 /0,7 nm
SiO 2 chimique (a) référence et (b) recuit à 800°C pendant 15 minutes sous N2
CHANG Youjean - Thèse
104
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
(a)
Intensity (a.u.)
Si
0
4+
Si Si*
106
104
Si
2+
102
Si
1+
100
98
Binding energy (eV)
(b)
Intensity (a.u.)
Si
Si
4+
Si*
Si
106
104
0
102
2+
Si
1+
100
98
Binding energy (eV)
Figure 3. 16 Spectres Si 2p mesurés à θ=75° pour les échantillons 4,5 nm Al2 O 3 /0,7 nm
SiO 2 chimique (a) référence et (b) recuit à 800°C pendant 15 minutes sous N2
3.2.2-2-3
Etude de la re-croissance de la couche interfaciale
A partir d'une analyse quantitative des spectres XPS des raies O 1s, il est
possible de remonter à l'épaisseur de la couche interfaciale. Le lecteur peut
consulter la méthode dans la publication de O. Renault [Renault2002b]. Les valeurs
des épaisseurs de couches interfaciales entre Al2 O3 et Si calculées sont résumées et
comparées avec celles mesurées par HRTEM dans le Tableau 3. 4.
Al 2 O 3 /HF-last Si
Al2 O 3 /SiO 2 thermique
Référence
0,43 (x)
1,46±0,29 (1,7-1,8)
0,81 (0,8-1)
Recuit
1,44 (2,5-2,6)
1,89±0,38 (1,6-1,7)
1,54 (1,4-1,54)
Al 2 O 3 /SiO 2 chimique
Tableau 3. 4 Epaisseurs (nm) de la couche interfaciale calculées à partir des paramètres
XPS avant et après recuit à 800°C, 15 minutes en fonction du type du substrat. Les
chiffres dans la parenthèse sont des valeurs mesurées par HRTEM.
CHANG Youjean - Thèse
105
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
Ces données correspondent bien aux résultats obtenus par HRTEM. Ils
confirment d'une part, la très faible valeur de l’épaisseur de la couche d’oxyde
interfaciale après dépôt pour une surface traitée HF-last (0,43 nm), qui est en accord
avec les résultats de Tsai et al. qui ont observé une épaisseur de SiOx de 0,4~0,5 nm
quelques jours après avoir déposé d’Al 2 O3 [Tsai2002]. Les mesures XPS confirment
la forte croissance de la couche interfaciale après recuit pour les surfaces traitées
HF-last (~1 nm) ; cet effet est plus limité pour les surfaces oxydées, probablement
parce que la couche interfaciale est déjà naturellement plus élevée après dépôt.
En rassemblant tous les résultats acquis, nous proposons un mécanisme de
croissance de la couche interfaciale pour chaque type de substrat (Figure 3. 17).
D’abord étudions le substrat HF-last (Figure 3. 17 (a)). Sur ce type de substrat,
terminé par des liaisons H, la nucléation d’une couche est difficile à cause de
manque de OH à la surface. Après le dépôt, une faible présence de SiO 2 (ou
Al2 O3 :SiO2 ) existe à la surface de Si. Il faut tenir compte du fait que la formation de
silicate d’Al par réaction entre Al2 O3 volumique et Si n’est pas favorable
thermodynamiquement (∆G>0). Mais le Al-OH (hydroxyde d’aluminium) peut
réagir avec Si exothermiquement en formant un silicate d’aluminium (Al2 O3 :SiO2 )
[Misra2002]. Durant le recuit, il y a une forte croissance de la couche interfaciale
qui était quasiment nulle au départ. C’est la diffusion d’oxygène qui joue le plus
grand rôle pour cette croissance. Même dans une ambiance ultra vide (p=10-6 mbar),
une petite quantité d’oxygène (<3 ppm) est suffisante pour oxyder le Si
[Renault2002b]. Comme d’autres matériaux high-κ, l’Al2 O3 n’est pas une bonne
barrière contre la diffusion d’oxygène, l’oxygène diffuse facilement à travers
l’Al 2 O3 . De plus la couche interfaciale est très fine, elle ne peut donc pas empêcher
l’oxydation de Si. En même temps que l’oxydation du Si une formation de silicate a
lieu grâce à la présence des groupes OH. Enfin une partie de la couche d’Al2 O3 se
transforme en couche interfaciale constituée de (Al2 O3 )1-x(SiO2 )x après recuit et ce
d’autant plus près du substrat Si que la couche interfaciale est proche de SiO2 .
Pour la surface SiO2 thermique (Figure 3. 17 (b)), la formation de silicate
pendant le dépôt d’Al2 O3 est la raison principale de la croissance de la couche
interfaciale. En tenant compte que l’intensité de Si4+ liée à SiO2 n’augmente pas
après le dépôt (cf. Figure 3. 14) la couche SiO2 initiale n’a pas changé. La couche
interfaciale est constituée d’une couche de SiO2 sous jacente une couche de silicate
(Al2 O3 )1-x(SiO2 )x qui se trouve en dessous d’Al 2 O3 . Durant le recuit à cause de cette
couche interfaciale assez élevée la diffusion de l’oxygène est beaucoup plus
difficile par rapport au cas du substrat HF-last. La re-croissance de la couche
interfaciale est alors limitée.
Il y a peu de croissance de la couche interfaciale sur SiO2 chimique pendant le
dépôt d’Al2 O3 (Figure 3. 17 (c)). L’épaisseur de la couche SiO2 initialement
déposée reste inchangée après le dépôt d’Al 2 O3 . En revanche la nucléation d’Al2 O3
CHANG Youjean - Thèse
106
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
est plus favorable que SiO2 sur ce type de substrat pendant le dépôt. En effet Green
et al. ont étudié l’efficacité de la nucléation et de la croissance de la couche d’HfO2
déposé par ALD [Green2002]. En comparaison avec le substrat HF-last et le SiO2
thermique, le SiO2 chimique montre une meilleure qualité du film. La raison de
cette efficacité de nucléation est due à une forte concentration d’OH sur le SiO2
chimique. L’Al-OH forme l’Al2 O3 ainsi qu’un silicate à l’interface entre SiO2 et
Al2 O3 ; les spectres XPS mettent en évidence la composante silicate et le contraste
de l’image de HRTEM est dégradé à l’interface. La croissance de la couche
interfaciale pendant est due à la re-croissance de SiO2 ; une nette augmentation de
l’intensité de la composante liée à SiO2 est observée par XPS tandis qu’il n’y a pas
de changement de la composante de silicate. Même s’il y a une couche de SiO2 sur
Si contre la diffusion d’oxygène, l’oxydation de Si n’est pas épaisse ni dense par
rapport à la couche SiO2 thermique. La re-croissance de SiO2 a lieu mais son
épaisseur n’est pas aussi importante que celle observée sur substrat HF-last.
Al2O3
H
-OH
SiOx+SiO2
Al2O3
Al-O-Si (riche Al)
SiO2
Si
Si
(a)
H
Al2O3
-OH
Al-O-Si (riche Al)
Al-O-Si (riche Si)
SiOx+SiO2
SiO2
Si
Si
(b)
CHANG Youjean - Thèse
Al2O3
107
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
H
Al2O3
Al2O3
-OH
Al-O-Si (riche Al)
Al-O-Si (riche Si)
SiOx+SiO2
SiOx+SiO
2
SiO2
Si
Si
Telle que
Après recuit
(c)
Figure 3. 17 Schéma de croissance de la couche interfaciale sur (a) substrat HF-last (b)
substrat oxydé thermiquement (b) substrat oxydé chimiquement, avant et après recuit
3.3
Propriétés électriques
Nous nous intéressons maintenant à l'évolution des propriétés électriques des
couches d'Al2 O3 en fonction des différences structurales (nature cristallographique
de la couche, propriétés de la couche interfaciale..) mises en évidence au cours de
l'étude physico-chimique précédente. En particulier, nous regarderons leur influence
sur le courant de grille ainsi que sur les principaux paramètres extraits des
caractérisations C-V.
3.3.1
Courant de grille
La recherche d'une densité de courant de grille minimale est un élément
déterminant dans le processus d'intégration de ces oxydes. Le Tableau 3. 5 montre
quelques exemples de densité de courant rapportés sur Al 2 O3 par différents auteurs.
Par rapport à SiO2, Al2 O3 conduit à une densité de courant réduite de l'ordre de 2 à 3
décades.
Référence
Densité de
Epaisseur
Méthode
courant à
physique
de dépôt
12 nm
ALD
Substrat
Remarque
n-Si(100)
Dépôt à 177°C
V g 1V
(A/cm 2 )
[Groner2002]
CHANG Youjean - Thèse
1x10 -9
108
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
1 nm Al 2 O 3 +
2x10 -7
[Besling2002]
3 nm ZrO 2 +
ALD
p-Si(001)
Recuit à 400°C
1 nm Al 2 O 3
[Yang2000]
[Johnson2001]
1x10
-10
6 nm
ALD
SiO 2 /Si
Dépôt à 350°C
1x10
-7
2,3 nm
PECVD
SiO 2 /p-Si
Dépôt à 300°C
Tableau 3. 5 Densité de courant rapportée sur Al2 O 3 par plusieurs auteurs.
Comme précédemment, nous commencerons par traiter le cas des couches
épaisses de 12 nm puis nous essayerons de voir si les résultats obtenus sont
transposables aux couches minces de 4 nm.
3.3.1-1 Courant de fuite sur les couches d'Al2 O3 de 12 nm
3.3.1-1-1
Influence des conditions de recuit sur surface HF-last
Nous avons mesuré la densité de courant des couches Al 2 O3 12 nm sur
substrat HF-last en fonction des conditions de recuit (température et durée) (Figure
3. 18 ). A faible polarisation, le niveau de courant varie peu quelque soit la
température de recuit. A partir de 4 V, on observe trois types de comportement :
pour les échantillons non-recuits ou recuits à une température inférieure à 800°C,
c'est-à-dire pour les couches amorphes, le courant est faible et varie peu avec le
champ électrique ; on note une première dégradation du courant à fort champ (-8 V)
pour la couche recuite à 800°C pendant 30 minutes, ce qui coïncide d'après l'étude
précédente, à la température de transition entre le régime amorphe et le régime
cristallin. La dégradation du courant s'amplifie et se stabilise ensuite pour des
recuits à haute température (≥ 900°C). Il apparaît donc que la cristallisation
contribue à amplifier le courant de fuite, cet excès de courant restant toutefois
relativement modéré surtout aux tensions d'intérêt.
CHANG Youjean - Thèse
109
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
-1
2
Densité de courant (J, A/cm )
10
Référence
650°C,15 min, N2
-2
10
-3
10
800°C,15 min, N2
-4
10
800°C,30 min, N2
-5
900°C,15 min, N2
-6
1000°C,15 min, N2
10
10
-7
10
-8
10
-9
10
-10
10
-11
10
12 nm Al2O3 /HF-last Si
-12
10
0
2
4
6
8
Tension de grille (Vg, -V)
10
12
Figure 3. 18 Evolution de la densité de courant en accumulation pour les échantillons 12
nm Al 2 O 3 /p-Si HF-last. On distingue trois régimes en fonction des conditions de recuit : 1)
référence et recuits à basse température (≤ 800°C) 2) recuit à la température de transition
(800°C, 30 min) 3) recuit à haute température (≥ 900°).
-3
-4
Référence
425°C, 30 min, N2/H2
-5
800°C, 30 min, N2
2
Densité de courant (J, A/cm )
10
10
10
800°C, 30 min, N2+425°C, 30 min, N2/H2
-6
10
-7
10
-8
10
-9
10
-10
10
12 nm Al2O3 /HF-last Si
-11
10
0
2
4
6
8
10
12
Tension de grille (Vg, -V)
Figure 3. 19 Effet d'un recuit forming gaz sur la densité de courant en accumulation pour
les échantillons 12 nm Al 2 O 3 /p-Si HF-last.
Par ailleurs, nous pouvons noter qu'un recuit à basse température (425°C)
sous forming gaz (10% H2 dans N2 ), ne modifie pas le courant de fuite, que
l'échantillon ait été ou non préalablement recuit (Figure 3. 19).
3.3.1-1-2
Influence de la préparation de surface
La Figure 3. 20 montre la comparaison de la densité de courant pour Al2 O3
déposé sur substrat HF-last et sur SiO2 thermique en fonction des différentes
CHANG Youjean - Thèse
110
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
températures de recuit. Des résultats très similaires sont obtenus pour les deux types
de surface. Seul un écart est observé pour la température de transition, 800°C-30
minutes, ce qui montre le caractère instable de ces couches lorsque commencent à
coexister les deux phases amorphe et cristalline. Bien que les images HRTEM
montrent que la cristallisation est retardée lors d'une croissance sur substrat oxydée,
cet effet n'est pas visible sur les caractéristiques I-V. Il semble donc que la présence
de phases cristallisées même discontinues dans le volume suffit à dégrader le
courant.
0
2
Densité de courant (J, A/cm )
10
-1
5A SiO2 ref
-2
5A SiO2 800°C-15'
-3
5A SiO2 800°C-30'
10
10
10
5A SiO2 1000°C-15'
-4
10
HF-last référence
HF-last 800°C-15'
HF-last 800°C-30'
HF-last 1000°C-15'
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
10
-12
10
0
2
4
6
8
10
12
14
Tension de grille (Vg, -V)
Figure 3. 20 Densité de courant des échantillons de 12 nm Al2 O 3 /p-Si HF-last et 0,5 nm
SiO 2 thermique. Le courant se comporte de façon identique pour les deux types de
substrats.
3.3.1.1.3
Influence des recuits rapides à haute température
Nous avons comparé la densité de courant après recuit à haute température (≥
900°C : gamme de température utilisée pour l'activation des dopants) (Figure 3. 21).
On note que la dégradation du courant engendrée par un recuit à haute température
est relativement peu influencée par le type de recuit. Sur substrat HF-last, un recuit
à 950°C de type RTP- 15 secondes n'apporte aucune modification de la
caractéristique I-V par rapport aux recuits standards 900°C et 1000°C - 15 minutes.
Un recuit de type "Spike" à 950°C ou 1050°C- 5 secondes, sur substrat oxydé
améliore très légèrement la caractéristique à fort champ mais semble en contrepartie
la dégrader à faible champ.
CHANG Youjean - Thèse
111
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
2
Densité de courant (J, A/cm )
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
10
-12
HF-last référence
HF-last 900°C, 15 min, N2
HF-last 950°C-RTP, 15 sec
HF-last 1000°C, 15 min, N2
7A RTO référence
7A RTO spike 950°C, 5 sec
7A RTO spike 1050°C, 5 sec
0
2
4
6
8
10
12
14
Tension de grille (Vg, -V)
Figure 3. 21 Influence de différents types de recuit rapide à haute température sur les
caractéristiques I-V
3.3.1-2 Courant de fuite sur les couches minces d'Al2 O3 de 4 nm
3.3.1-2-1
Influence des conditions de recuits sur surface HF-last
La Figure 3. 22 montre l'évolution des caractéristiques I-V des couches
d'Al2 O3 4 nm déposées sur HF-last en fonction des conditions de recuits.
Contrairement à ce qui a été observé sur les couches 12 nm, la référence 4 nm nonrecuite présente un courant très instable, dès les basses polarisations qui évolue au
cours des mesures ( Figure 3. 22). La même tendance est observée pour l'échantillon
recuit à 800°C pendant 15 minutes. La caractéristique I-V se stabilise ensuite pour
des températures et des temps de recuit supérieurs. Cette stabilisation peut être
expliquée à la fois par la re-croissance de la couche interfaciale pendant le recuit et
par la densification de la couche d'Al2 O3 et l'élimination des défauts qui en résulte
(cf. HRTEM).
CHANG Youjean - Thèse
112
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
10
0
2
Densité de courant (J, A/cm )
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
Référence
800°C, 15 min, N2
-11
1000°C, 15 min, N2
10
10
-12
10
0
2
4
6
8
10
Tension de grille (Vg, -V)
Figure 3. 22 Evolution de la densité de courant des échantillons de 4 nm Al2 O 3 /HF-last Si
en fonction de la température de recuit
La Figure 3. 23 montre l'effet d'un recuit supplémentaire sous N2 ou N2 /H2 à
425°C pendant 30 ou 60 minutes sur des couches ayant déjà subi un premier recuit à
800°C ou 1000°C. La caractéristique I-V est nettement améliorée dans le cas d'un
premier recuit à 800°C (Figure 3. 23(a)). L'impact de l'hydrogène (dilution 5% ou
10% dans N2 ) est peu significatif sur la caractéristique finale. Par contre, pour les
recuits à 1000°C (Figure 3. 23(b)) aucune d’amélioration n’est observée après un
recuit supplémentaire à basse température.
2
Densité de courant (J, A/cm )
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
Référence
800°C, 15 min, N2
10
-9
800°C, 15 min, N2+425°C, 30 min, N2
10
-10
10
-11
10
-12
800°C, 15 min
Référence
800°C, 15 min, N2+425°C, 30 min, N2/H2 (5%)
800°C, 15 min, N2+425°C, 30 min, N2/H2 (10%)
800°C, 15 min, N2+425°C, 60 min, N2
800°C, 15 min, N2+425°C, 60 min, N2/H2 (5%)
0
2
4
6
8
Tension de grille (Vg, -V)
(a)
CHANG Youjean - Thèse
113
10
12
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
2
Densité de courant (J, A/cm )
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
Référence
-10
Référence
1000°C, 15 min, N2
-11
1000°C, 15 min, N2+425°C, 60 min, N2
10
10
1000°C, 15 min, N2+425°C, 60 min, N2/H2 (5%)
-12
10
0
2
4
6
8
10
12
Tension de grille (Vg, -V)
(b)
Figure 3. 23 Impact d'un recuit complémentaire à 425°C sur la stabilité des courbes I-V
pour des couches Al 2 O 3 /HF-last Si de 4 nm préalablement recuites à (a) 800°C et (b)
1000°C, 15 minutes sous N 2 . Influence d'une faible concentration d'hydrogène pendant le
recuit à basse température
3.3.1-2-2
Influence de la préparation de surface
Les courbes I-V obtenues sur les couches non-recuites déposées sur substrat
oxydé ne présentent pas les instabilités observées précédemment aux basses
tensions sur substrat HF-last (Figure 3. 24). Pour des tensions inférieures à 3 V, le
courant évolue peu avec les recuits quelque soit le type d'oxydation du substrat.
Pour les champs plus élevés, un courant en excès assisté par défauts est observé
pour le dépôt sur 5Å de SiO2 thermique. A l'inverse, cet effet est inexistant sur une
surface de 7 Å de SiO2 chimique.
2
Densité de courant (J, A/cm )
1
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
10
-12
10
Référence
800°C, 15 min, N2
800°C, 30 min, N2
1000°C, 15 min, N2
4 nm Al2O3/5A SiO2 thermique
0
2
4
Tension de grille (Vg, -V)
(a)
CHANG Youjean - Thèse
114
6
8
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
2
Densité de courant (J, A/cm )
2
10
1
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
10
-12
10
Référence
800°C, 15 min, N2
800°C, 30 min, N2
1000°C, 15 min, N2
4 nm Al2O3/12A SiO2 thermique
0
2
4
6
8
Tension de grille (Vg, -V)
(b)
2
Densité de courant (J, A/cm )
2
10
1
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
10
-12
10
Référence
800°C, 15 min, N2
800°C, 30 min, N2
1000°C, 15 min, N2
4 nm Al2O3/7A SiO2 chimique
0
2
4
6
8
Tension de grille (Vg, -V)
(c)
Figure 3. 24 Evolution des caractéristiques I-V en fonction des conditions de recuit pour
des couches d'Al 2 O 3 de 4 nm déposées sur (a) 0,5 nm (b) 1,2 nm SiO2 thermique et (c) 0,7
SiO 2 chimique
3.3.1-2-3
Comparaison des différents types de substrats
L’effet du substrat sur la caractéristique I-V des couches Al2 O3 de références
est plus visible sur les films minces ( Figure 3. 25) que sur les films de 12 nm
(Figure 3. 20), ceci est en particulier lié aux instabilités observées sur HF-last et à
une contribution supplémentaire à fort champ induite par la présence de défauts.
Une dégradation similaire du courant pour les couches minces déposées sur substrat
HF last a déjà été observée par Tsai et al. [Tsai2002]. Ces auteurs ont déposé 4 nm
ZrO2 /1 nm Al2 O3 par ALD sur quatre surfaces différentes de substrat : 1) HF-last 2)
substrat Si recuit sous NH3 avant le dépôt de diélectrique 3) 0,5 nm de SiO2 RTO 4)
0,8 nm de SiO2 chimique. Ils observent une diminution du courant pour les films
CHANG Youjean - Thèse
115
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
déposés sur une couche de SiO2 en particulier à faible polarisation (0~-1,5V) et
attribuent les mauvaises propriétés électriques sur substrat HF-last par la croissance
non uniforme d'oxyde dans ce cas.
Après un recuit à 1000°C, l'écart sur le courant disparaît, signe d'une
uniformisation des couches après recuit quelque soit le type de préparation du
substrat au départ, ce qui est cohérent avec le fait qu'après recuit toutes les couches
ont à peu près les mêmes épaisseurs d'A12 O3 et de couches interfaciale (cf. Tableau
3. 3 ).
2
Densité de courant (J, A/cm )
1
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
10
-12
10
HF-last
5A SiO2 thermique
7A SiO2 chimique
12A SiO2 thermique
Référence
0
2
4
6
8
Tension de grille (Vg, -V)
(a)
2
Densité de courant (J, A/cm )
1
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
10
-12
10
HF-last
5A SiO2 thermique
7A SiO2 chimique
12A SiO2 thermique
Recuit à 1000°C, 15 min, N2
0
2
4
6
8
Tension de grille (Vg, -V)
(b)
Figure 3. 25 Densité de courant des échantillons 4 nm Al2 O 3 /HF-last Si, Al 2 O 3 /0,5 nm SiO 2
thermique et Al 2 O 3 /0,7 SiO 2 chimique (a) références (b) recuits à 1000°C pendant 15
minutes sous N2
CHANG Youjean - Thèse
116
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
3.3.1-3 Mécanisme de conduction
Nous avons vu dans les paragraphes précédents que la conduction sur les
couches non-recuites était instable et probablement favorisée par la présence d'une
forte densité de pièges dans le volume d'Al2 O3 . On peut supposer que les pièges ou
charges qui contribuent à activer la conduction sur les couches les plus minces sont
associées aux groupes hydroxyles OH d'autant que l'effet de densification sur ces
couches est très important et que le courant réduit à mesure que le recuit augmente
(en température ou en temps), c'est-à-dire que les charges O-H se libèrent. Après
recuit à 1000°C, la couche d'Al2 O3 est fortement densifiée et contient donc moins de
défauts. Même si l’on peut supposer que la couche d'Al2 O3 est partiellement
cristallisée, cet effet joue peu sur le courant et on obtient une caractéristique stable
et similaire pour tous les types de préparation de surface.
Compte tenu des épaisseurs de couches et du schéma de bande de l'oxyde
(Figure 3. 26), le mécanisme de conduction le plus probable est de type FowlerNordheim (cf. Annexe A). Ce type du courant est décrit par le passage par effet
tunnel des porteurs à travers une barrière triangulaire [Hesto1986] lorsqu’une
tension (V > φo ) suffisamment large est appliquée, son expression est donnée par la
relation suivante :
q2 E2
8π
⋅ exp(−
J=
8πh φ o
3
2 m * qφ o
3
h
1
)
E
Equation 3. 1
où E est le champ électrique, m* est la masse effective d’un porteur et φ0 est la
hauteur de barrière
Nous vérifions que la conduction mise en jeu est effectivement de type
Fowler-Nordheim en traçant ln (J/E2 ) en fonction de 1/E (Figure 3. 26) pour les
différentes types de préparation de surface. En supposant que la masse effective
moyenne d’un électron dans Al2 O3 est m*=0,23 [Groner2002] nous pouvons
calculer les hauteurs de barrière d’énergie associées : on trouve φ0 ~2,9-3,1 eV avec
une grille en aluminium, ce qui est tout à fait cohérent avec les valeurs attendues
d'après le schéma de la Figure 3. 27. En plus cette valeur est bien en accord avec
celle déterminée par photo-émission des électrons dans Al2 O3 à partir d'une grille en
aluminium [Afanas'ev2002a].
CHANG Youjean - Thèse
117
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
1E-15
HF-last
2
ln (J/E ) (A/V )
1E-16
2
1E-17
1E-18
1,2 nm t-SiO2
1E-19
0,5 nm t-SiO2
0,7 nm c-SiO2
1E-20
3,0E-10 4,0E-10 5,0E-10 6,0E-10 7,0E-10 8,0E-10 9,0E-10 1,0E-09 1,1E-09 1,2E-09
1/E (cm/V)
Figure 3. 26 Tracé du courant du type Fowler-Nordheim sur les films 4 nm Al2 O 3 sur (a)
HF-last Si (b) 0,5 nm SiO 2 thermique et (c) 0,7 nm SiO2 chimique
0,9
4,05
φ m Al 4,3
φ0
*2,7-2,8
9,0
3,15
1,1
4,75
métal
Al2O 3 CI (SiO 2)
(a)
CHANG Youjean - Thèse
118
Si
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
Al2O3 CI (SiO2)
métal
Si
(b)
Figure 3. 27 (a) Schéma de bande de l’oxyde (CI - couche interfaciale, * - discontinuité
de bande conduction d’Al 2 O 3 : 2,7 eV [Nohira2002] ; 2,8 eV [Robertson2002b]). Les
énergies sont données en eV. (b) schéma de conduction d’un électron en accumulation par
Fowler-Nordheim à travers une barière d'Al 2 O 3
3.3.2
Caractérisation C-V
En adoptant la même démarche que pour le courant de grille, nous avons
analysé les caractéristiques C-V des films d'Al 2 O3 en fonction de la préparation de
surface et des conditions de recuit. A partir des C-V, nous avons extrait un certain
nombre de paramètres tels que l'EOT, l'épaisseur de couche interfaciale, la
concentration de charges dans l'oxyde et la densité d'états d'interface.
3.3.2-1 Caractéristiques C-V
Les Figure 3. 28 et Figure 3. 29 présentent l'évolution des caractéristiques CV mesurées respectivement sur les films de 12 nm et 4 nm à basse fréquence (100
Hz, pour les raisons évoquées au chapitre 2 § 2.4.1) en fonction de la température
de recuit pour les différentes préparations de surface étudiées.
CHANG Youjean - Thèse
119
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
-7
6,0x10
Référence
800°C,15min
800°C,30min
1000°C,15min
-7
2
Capacité (F/cm )
5,0x10
-7
4,0x10
-7
3,0x10
-7
2,0x10
-7
1,0x10
12 nm Al2O3/HF-last Si
0,0
-2
-1
0
1
2
3
Tension de grille (V)
(a)
-7
6,0x10
Référence
800°C,15min
800°C,30min
1000°C,15min
-7
2
Capacité (F/cm )
5,0x10
-7
4,0x10
-7
3,0x10
-7
2,0x10
-7
1,0x10
12 nm Al2O3/0,5 nm SiO2 thermique
0,0
-2
-1
0
1
2
3
Tension de grille (V)
(b)
Figure 3. 28 Caractéristiques C-V (a) 12 nm Al2 O 3 /HF-last Si (b) 12 nm Al 2 O 3 /0,5 nm SiO 2
thermique en fonction de la température de recuit. Les C-V sont mesurées à 100 Hz par
bille Hg.
CHANG Youjean - Thèse
120
2
Capacité (F/cm )
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
9,0x10
-7
8,0x10
-7
7,0x10
-7
6,0x10
-7
5,0x10
-7
4,0x10
-7
3,0x10
-7
2,0x10
-7
1,0x10
-7
0,0
Référence
800°C, 15 min
800°C, 15 min
1000°C, 15 min
4 nm Al2O3/HF-last
-3
-2
-1
0
1
2
Tension de grille (V)
2
Capacité (F/cm )
(a)
9,0x10
-7
8,0x10
-7
7,0x10
-7
6,0x10
-7
5,0x10
-7
4,0x10
-7
3,0x10
-7
2,0x10
-7
1,0x10
-7
0,0
Référence
800°C, 15 min
800°C, 15 min
1000°C, 15 min
4 nm Al2O3/0,5 nm SiO2 thermique
-3
-2
-1
0
1
2
Tension de grille (V)
(b)
-7
9,0x10
Référence
800°C, 15 min
800°C, 15 min
1000°C, 15 min
-7
2
Capacité (F/cm )
8,0x10
-7
7,0x10
-7
6,0x10
-7
5,0x10
-7
4,0x10
-7
3,0x10
-7
2,0x10
-7
1,0x10
0,0
4 nm Al2O3/0,7 nm SiO2 chimique
-3
-2
-1
0
1
2
Tension de grille (V)
(c)
Figure 3. 29 Caractéristiques C-V (a) 4 nm Al2 O 3 /HF-last Si (b) 4 nm Al 2 O 3 /0,5 nm SiO 2
thermique (c) 4 nm Al 2 O 3 /0,7 nm SiO 2 chimique en fonction de la température de recuit.
Les C-V sont mesurées à 100 Hz sur des structures MOS Al/Al2 O 3 /Si.
CHANG Youjean - Thèse
121
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
3.3.2-2 Extraction des paramètres
3.3.2-2-1 Décalage de la tension de bande plate et charges dans l’oxyde
Pour tous les types de préparation de surface, on note une tendance similaire
qui est le décalage de la tension de bande plate VFB vers les tensions positives
lorsque la température de recuit augmente, ce qui signifie l'augmentation de
charges négatives dans l’oxyde.
La tension de bande plate correspond à la tension qu’il faut appliquer sur la
grille pour que le potentiel de surface dans le semi-conducteur soit nul. Elle dépend
de la différence des travaux de sortie métal – semi-conducteur (φms) et des charges
dans l’oxyde (Qox ) qui influencent le potenteil dans le sem-conducteur. Elle est
donnée par la relation :
VFB = φ ms −
Eg
Q ox
Q
= φm − (
+ χ Si + E F ) − ox
C ox
2
C ox
Equation 3. 2
La tension de bande plate a été évaluée expérimentalement à partir de la
méthode de Maserjian décrite en Annexe D. Pour les échantillons de 4 nm,
l'évolution du Qox extrait du VFB sous l'effet des recuits est illustrée en Figure 3. 30.
7,E+11
6,E+11
-2
Qox (cm )
5,E+11
HF last
0,5 nm t-SiO2
1,2 nm SiO2
0,7 nm c-SiO2
4,E+11
3,E+11
2,E+11
1,E+11
0,E+00
ref
800°C 15 min
800°C 30 min
1000°C 15 min
Figure 3. 30 Evolution du Q ox , (en valeur absolue, charges négatives) en fonction des
conditions de recuit pour les différents types de surface. L'extraction de Q ox est effectuée à
partir de l’Equation 3.2 et en considérant un travail de sortie (φm ) de 4,28 eV pour Al.
CHANG Youjean - Thèse
122
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
On note une augmentation significative (d'un facteur 3 à 5) du Qox après recuit. La
dispersion de Qox pour un même recuit suivant les différentes surfaces est obtenue
pour une température de 800°C, 15 minutes, c'est-à-dire la température de transition
amorphe-cristallin. Pour les recuits plus élevés, les différences entre les substrats
s'estompent et la quantité de charges négatives générées dans l’oxyde pendant le
recuit se stabilise à une valeur de 4,5 à 6×1011 /cm2 .
La présence de charges négatives dans Al 2 O3 a souvent été observée
[Ericsson1997], [Lee2000b], [Buchanan2000], [Johnson2001], [Lee2002],
[Kim2002] contrairement à la plupart des autres matériaux high-κ qui présentent
plutôt des charges positives : La 2 O3 [Guha2000], Ta2 O5 [Lee2002], HfO2
[Xu2002], ZrO2 [Nieh2002], [Houssa2001b]. L’origine des charges négatives dans
le film d’Al2 O3 n’est pas connue clairement. Kim et al. proposent que des défauts
comme la liaison Al-Al ou le radical OH- soient à l’origine des charges négatives
[Kim2002]. Lucovsky et al., quant à eux, proposent que les charges négatives
présentes principalement à l’interface Al2 O3 /Si proviennent de composés (AlO4/2)dans ces régions [Lucovsky1999b]. Nous supposons que le recuit favorise
l’exodiffusion de l’hydrogène des groupes Al-OH et que des radicaux AlO- chargés
négativement restent dans l’oxyde.
Sur les couches de 12 nm, l'extraction du Qox s'est avérée plus délicate compte
tenu de l'incertitude sur la détermination du travail de sortie du mercure (cf.
chapitre 2 §2.4.2-3).
4,0E+12
3,5E+12
Au
TiAl
Qox (cm-2)
3,0E+12
2,5E+12
2,0E+12
1,5E+12
1,0E+12
5,0E+11
0,0E+00
ref
800°C 30 min
1000°C 15 min
Figure 3. 31 Evolution du Q ox (en valeur absolue) en fonction des conditions de recuit
pour les électrodes de différents métaux sur Q ox . Un travail de sortie de 5,1 et 4,33 eV
pour Au et Ti-Al respectivement.
CHANG Youjean - Thèse
123
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
Les valeurs de Qox présentées Figure 3. 31 ont été extraites sur les quelques couches
de cette série, sur lesquelles nous avions déposé des électrodes de différents
métaux. La tendance observée, c'est-à-dire une augmentation progressive de la
quantité de charges avec la température de recuit, est identique aux plaques 4 nm.
Pour essayer de quantifier l'impact de l'interface supérieure, nous nous
sommes ensuite calés sur ces mesures pour en déduire un ∆VFB associée à la mesure
par bille de mercure (en considérant un φm de référence pour le mercure de 4,3 eV) ;
ces valeurs sont reportées dans le Tableau 3. 6, ainsi que la quantité de charges
correspondantes.
Echantillon
∆V FB (V)
Charges à l’interface supérieure (cm-2 )
Référence
1,1
2,7×10 12
0,85
2,4×10 12
1,1
2,9×10 12
800° 15 min
1000° 15 min
Tableau 3. 6 Estimation de la charge à l'interface supérieure induite par le contact Hg
Cet effet de l’interface supérieure pourrait être rapproché d'autres
observations telles que celle de Houssa et al. [Houssa2001a] qui notent une quantité
et un signe différent de charges fixes (Qf) dans des films de ZrO2 suivant le type
d'électrodes Au (-) ou Al (+). Pour interpréter ces écarts, ils proposent que les
charges négatives soitent liées à des défauts chargés négativement et (ou) à des
couches dipolaires proches de l’interface ZrO 2 /Au. Il est également possible de
suggérer que lorsque ces charges atteignent une valeur très élevée, il y ait un
pinning de niveau de Fermi à l'interface supérieure. Ces hypothèses restent à
confirmer mais mettent en évidence une autre limitation de la mesure à sonde de
mercure.
La Figure 3. 32 présente l’effet sur Qox d'un recuit supplémentaire à basse
température (425°C) sous N2 /H2 ou N2 pour différentes durées et dilutions
d'hydrogène pour des couches d'Al2 O3 4 nm sur substrat HF-last. Globalement, le
recuit basse température a peu d'impact, surtout après un recuit à 1000°C. Après un
recuit à 800°C 15 min, on note une légère augmentation du Qox en fonction de la
durée du recuit, ce qui confirme une nouvelle fois que le recuit 800° 15 minutes
n'est pas tout à fait suffisant pour stabiliser la couche déposée sur HF-last.
CHANG Youjean - Thèse
124
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
5,0E+12
4,5E+12
Qox (cm-2)
4,0E+12
3,5E+12
3,0E+12
2,5E+12
800°C 15 min (a)
1000°C 15 min (b)
2,0E+12
(a)ou(b)
(a)+30 min
N2
(a)+30 min
N2/H2 5%
(a)+30 min
N2/H2 10%
(a)ou(b)+60
min N2
(a)ou(b)+60
min N2H2
Figure 3. 32 Effet sur Q ox d'un recuit supplémentaire à basse température sous différentes
conditions pour des couches d'Al 2 O 3 de 4 nm préalablement recuites à (a) 800°C
15minutes, (b) 1000°C 15 minutes.
3.3.2-2-2
Effet de stretching sur les caractéristiques C-V
Un autre effet qui est nettement visible sur les courbes C-V est l'effet de
"stretching", c'est-à-dire un étirement de la courbe avec la polarisation, liée à une
non uniformité de la répartition des charges à l’interface [Nicollian1992].
Le "stretching" des courbes est notamment visible sur toutes les courbes
recuites à 1000°C, 15 minutes ( Figure 3. 29), c'est-à-dire sur les courbes
cristallisées ; cet effet est à rapprocher des observations SIMS (Figure 3. 5 ) qui
montrent une dégradation de l'interface en particulier du profil d'Al après un recuit
à 1000°C. Par ailleurs, cet effet est aussi détectable sur les échantillons non-recuits
déposés sur substrat HF-last ( Figure 3. 33), par comparaison avec les couches
déposées sur substrat oxydé. Ce résultat souligne encore les difficultés déjà
mentionnées précédemment pour obtenir une interface de bonne qualité lors de la
croissance d'Al2 O3 sur une surface HF-last.
CHANG Youjean - Thèse
125
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
1,2E+00
5A SiO2 thermique
7A SiO2 chimique
HF-last
1,0E+00
C/Cox
8,0E-01
6,0E-01
4,0E-01
2,0E-01
Références
0,0E+00
-3
-2
-1
0
1
2
V g-VFB (V)
(a)
1,2E+00
1,0E+00
5A SiO2 thermique
7A SiO2 chimique
HF-last
C/Cox
8,0E-01
6,0E-01
4,0E-01
2,0E-01
Références
0,0E+00
-2
-1
0
Vg-VFB (V)
1
2
(b)
Figure 3. 33 Effet de stretching de la courbe C-V sur des couches de (a) 4 nm d’Al2 O 3 et
(b) 12 nm d’Al 2 O 3 non-recuites en fonction de la préparation du substrat (courbe
normalisée en C/C ox et Vg-V FB )
Concernant le stretch, on observe également l'amélioration de l'effet de "stretching"
par l'utilisation de recuits rapides à haute température (≥ 900°C) (Figure 3. 34 (a)).Sur
HF-last, on peut noter une réduction du stretching pour un recuit RTP 950°C par rapport
à un recuit standard à 900°C ou 1000°, même s'il semble s'accompagner d'une
augmentation de la densité d'états d'interface. Cet effet est mieux visible encore sur
substrat oxydé lorsqu'on compare un recuit standard à 1000°C et un recuit "spike" à
1050°C (Figure 3. 34 (b)). Après un recuit spike, la caractéristique C-V se superpose
parfaitement avec la caractéristique de référence avant recuit. Ce résultat est tout à fait
cohérent avec les résultats SIMS présentés Figure 3. 7 qui montrent que le recuit "spike"
entraîne peu de perturbation des profils d’H, OH et Al à l'interface.
CHANG Youjean - Thèse
126
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
1,2E+00
ref HF-last
950°C RTP HF-last
900°C 15 min HF-last
1000°C 15 min HF-last
1,0E+00
C/Cox
8,0E-01
6,0E-01
4,0E-01
2,0E-01
0,0E+00
-3
-2
-1
0
Vg-V FB (V)
1
2
3
(a)
1,2E+00
ref t-SiO2
1000°C 15 min t-SiO2
1,0E+00
ref c-SiO2
C/Cox
8,0E-01
1050°C spike c-SiO2
6,0E-01
4,0E-01
2,0E-01
0,0E+00
-3
-2
-1
0
Vg-VFB (V)
1
2
3
(b)
Figure 3. 34 Effet de stretching de la courbe C-V sur des couches en fonction de la
condition de recuit à haute température sur (a) substrat HF-last et (b) substrat oxydé (tSiO 2 : 0,5 nm SiO 2 thermique c-SiO 2 : 0,7 nm SiO 2 chimique
3.3.2-2-3
Evolution de l'EOT et détermination de la couche interfaciale
A partir de la mesure de Cox , nous pouvons extraire la valeur de l'épaisseur
"globale" équivalente d'oxyde (CET = ε0 ⋅κSiO2 ⋅S/Cox ), c'est-à-dire l'épaisseur
équivalente "effective" qui prend en compte tout le diélectrique sans déduction de
couches interfaciales (dans la suite de ce chapitre, nous appellerons cette épaisseur
effective CET (capacitance equivalent thickness) et CEThigh-k désignera l’épaisseur
équivalente de high-κ, déduction faite de la couche interfaciale.
CHANG Youjean - Thèse
127
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
L'évolution du CET en fonction des recuits est illustrée en Figure 3. 35. Sur
les couches 12 nm, on distingue assez clairement les deux mécanismes concurrents
qui interviennent dans l'évolution du CET avec les recuits : d'une part la recroissance de l'oxyde interfacial, d'autre part la densification de la couche d'Al2 O3
par élimination des OH. Sur substrat oxydé, la re-croissance de la couche
interfaciale est limitée et par conséquent l'effet prédominant est la densification
d'Al2 O3 , d'où une réduction continue du CET avec les recuits. Sur HF–last, ce gain
est plus largement contrebalancé par la re-croissance de la couche interfaciale. Sur
les plaques 4 nm, les conclusions sont plus délicates, indiquant que la contribution
de l'interface perturbée est prépondérante.
10
CET (nm)
9
8
7
6
HF last
SiO therm
5
ref
800°C 15 min
800°C 30 min
1000°C 15 min
(a)
9
8
CET (nm)
7
6
HF last
SiO2 therm 5A
SiO2 therm 12A
SiO2 chimique
5
4
3
ref
800°C 15 min
800°C 30 min 1000°C 15 min
(b)
Figure 3. 35 Evolution du CET en fonction des conditions de recuit et des préparations de
surface (a) 12 nm d’Al2 O 3 (b) 4 nm d’Al2 O 3 .
CHANG Youjean - Thèse
128
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
Dans ces conditions, l'évaluation de la constante diélectrique d'Al2 O3 est assez
délicate. Le modèle le plus simple est de considérer un modèle à deux couches
abruptes, une couche interfaciale de SiO2 et une couche Al2 O3 . Dans ces conditions,
l'expression de CET est donnée par :
κSiO 2
⋅ t high−κ
κ high−κ
CET = t SiO 2 +
Equation 3. 3
D'après cette relation Equation 3.3 , et avec les hypothèses ci-dessus, un tracé
CET=f(thighk) doit nous permettre d'extraire les valeurs de l'épaisseur équivalente de
couche interfaciale, donnée par l'ordonnée à l'origine, et de κhigh-κ à partir de la pente
de la droite.
κ high −κ =
κSiO 2
pente
Equation 3. 4
où κhigh-κ et κSiO2 sont les constantes diélectriques du high-κ et de SiO2
respectivement, thigh-κ et tSiO2 sont les épaisseurs du high-κ et de SiO2
respectivement.
Un exemple de tracé est donné en Figure 3. 36 pour trois épaisseurs d'Al2 O3
45 nm, 12 nm et 4 nm déposées sur substrat HF-last. Les valeurs de κ et de
l'épaisseur de la couche interfaciale déduites de ces courbes sont résumées dans le
Tableau 3. 7 .
25
référence
800°C, 15 min
800°C, 30 min
1000°C, 15 min
CET (nm)
20
45 nm
15
12 nm
10
4 nm
5
0
0
10
20
30
40
50
Epaisseur Al2O3 (thigh-κ, nm)
Figure 3. 36 Détermination de l'épaisseur de couche interfaciale et de κ pour des
échantillons sur substrat HF-last
CHANG Youjean - Thèse
129
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
Echantillons
Epaisseur CI (nm)
κAl2O3
Ref
3,3
8,7
800°C 15 min
1,5
7,7
800°C 30 min
1,9
8,5
1000°C 15 min
3,7
10,7
Tableau 3. 7 Tableau de l’épaisseur de la couche interfaciale (CI) et κ déduites de la
Figure 3. 36 (ces valeurs sont obtenues en ne prenant en compte que les épaisseurs
d'Al 2 O 3 les plus élevées : 45 et 12 nm).
De ces résultats, on peut remarquer les points suivants :
La constante diélectrique (κ) est plus élevée après recuit à 1000°C, montrant
que la qualité de la couche s'améliore à mesure qu’elle se densifie (diminution
des groupements OH). La valeur de la constante diélectrique d’Al 2 O3 est
généralement estimée autour de ~8-10. Toutefois, certaines données de la
littérature s’en écartent plus ou moins. Groner et al. ont observé une constante
diélectrique κ= 6,7 pour 12 nm Al 2 O3 déposé par ALD [Groner2002] alors
que d’autres rapportent κ~11 [Buchanan2000], [Gusev2001].
L’épaisseur de la couche interfaciale des échantillons recuits à 800°C est en
bon accord avec celle mesurée par HRTEM. Cela nous indique que la couche
interfaciale est proche de SiO2 . Par contre, l’écart observé pour les couches
non recuites et recuites à 1000°C pourrait traduire la limite de cette méthode
d’extraction lorsque l’interface n’est pas abrupt : difficulté de nucléation et
forte rugosité de l’interface pour les couches HF-last non recuites,
modification de l’interface par re-distribution des atomes (Al) pendant le
recuit à 1000°C (cf. Figure 3. 5 ).
Afin d’évaluer l’impact de la perturbation de l’interface après un recuit à
haute température sur la valeur du CET (κ), nous avons comparé le CET en
fonction des types de recuit et de préparation de surface (Figure 3. 37). Comme
attendu, le CET est plus faible dans le cas où la perturbation a été réduite : c'est-àdire les recuits rapides (950°-RTP et 1050°C-spike) par rapport aux recuits
standard.
CHANG Youjean - Thèse
130
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
10
ref
950°C RTP
1000°C
1050°C spike
9
8
CET (nm)
7
6
5
4
3
2
1
0
HF last
SiO2 therm
SiO2 chim
Figure 3. 37 Evolution du CET en fonction des conditions de recuit et des préparations de
surface sur 12 nm d’Al2 O 3
Pour les films de 4 nm d’épaisseur, les constantes diélectriques et les EOTs
calculées sont résumées dans le Tableau 3. 8. L’origine d’une faible valeur de κ
pour le film d’Al 2 O3 déposé sur HF-last peut être due à une stœchiométrie
incomplète de la couche d’Al2 O3 associée à une perturbation de l’interface pendant
la croissance sur une distance de plusieurs nm. On note que la constante
diélectrique d’Al2 O3 déposé sur substrats oxydés diminue après le recuit
contrairement à celui sur substrat HF-last, malgré la densification d’Al2 O3 due à
l’élimination des contaminations (cf. §3.2.2-1).
Echantillons
CET
Epaisseur CI
CET high-k
Epaisseur Al2 O 3
(nm)
mesurée (nm)
(CET-CI)
mesurée (nm)
κAl2O3
(nm)
HF-last
4,9
X
4,9
5,3
4,2
5,1
1,8
3,3
6,0
7,1
4,3
1,0
3,3
5,0
5,9
HF-last Recuit
4,7
2,6
2,1
3,9
7,3
t-SiO 2 Recuit
5,0
1,7
3,2
4,1
5,0
c-SiO 2 Recuit
5,3
1,5
3,8
3,7
3,8
Référence
t-SiO 2
Référence
c-SiO 2
Référence
Tableau 3. 8 Constante diélectrique (κ) et CET pour ~4 nm d’Al 2 O 3 déposé sur substrat
HF-last, 0,5 nm SiO 2 thermique (t-SiO 2 ) et 0,7 nm SiO 2 chimique (c-SiO 2 ) ; références et
recuits à 800°C, 15 min sous N2 . Les épaisseurs d’Al 2 O 3 et CI ont été mesurées par HRTEM
CHANG Youjean - Thèse
131
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
(CI : couche interfaciale, x : trop mince pour être mesurée). κAl2O3 a été calculée avec
CET high-k .
3.3.2-2-4
Densité d’états d’interface
Lors d’une rupture du réseau cristallin du silicium à l’interface causée par une
liaison chimique non satisfaite (appelé « dangling bonds »), des états électroniques
dont les niveaux d’énergie sont répartis dans la bande interdite du silicium sont
générés [Raynaud1999]. Ce type de défaut est important parce qu’il se charge et se
décharge en fonction de la polarisation appliquée sur la structure MOS et peut donc
influencer la distribution de charges dans le dispositif. Son impact sur la mobilité
des porteurs dans le canal du transistor est également très dommageable. La
concentration totale de piéges à l’interface ou la densité d’états d’interface en
fonction de l’énergie dans la bande interdite (Dit [états/cm2 eV]) est très sensible
aux conditions de fabrication et varie d’un dispositif à l’autre [Pierret1990].
Dans les courbes C-V, les états d’interface se manifestent par une réponse
capacitive supplémentaire en régime de déplétion principalement à basse fréquence.
Nous avons déterminé la densité d’états d’interface en utilisant la méthode haute et
basse fréquence combinée [Nicollian1992], [Klausmann1989], [Duval2001]. Le
lecteur peut consulter le détail de la méthode en Annexe C. Dans la littérature, la
densité d’états d’interface pour l’interface Al 2 O3/Si est en ordre de ~qqs 1011 (eV1
cm-2 ) en moyenne et diminue généralement après recuit (Tableau 3. 5).
High-κ
D it (eV -1 cm -2 )
Méthode de dépôt
Référence
Al 2 O 3
1x10 11
ALD
[Besling2002]
Al 2 O 3
2,8x10 11
ALD
[Lee2002]
Al 2 O 3
3,2x10 11
ALD
[Lee2000b]
Tableau 3. 9 Quelques exemples de la densité d’états d’interface rapportée sur Al2 O 3
après recuit
La Figure 3. 38 présente l’évolution du profil en énergie de la densité d’états
d’interface en fonction des recuits et des préparations de surface. On peut tout
d’abord remarquer que la densité d’états d’interface des films d’Al2 O3 déposés sur
SiO2 thermique est inférieure à celle de films déposés sur HF-last et SiO2 chimique.
Les valeurs s’échelonnent entre 2×1011 et 1,3×1012 /cm2 eV. Le maximum de densité
est localisé à 0,10-0,15 eV au-dessus de la bande de valence qui est en accord avec
Chin et al. [Chin2000]. Seul, le profil de la couche recuite à 1000° sur HF-last
présente une remontée vers la bande de valence qui serait peut-être attribué à la
CHANG Youjean - Thèse
132
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
dégradation de l’interface. Ce point est également observé par chin et al.
[Chin2000]. Un léger décalage vers le mid-gap est observé pour la couche de
référence sur SiO2 chimique et pourrait être attribué à une chimie différente en
surface dans ce cas, peut être reliée aux groupements OH.
La densité d’états d’interface diminue après les recuits à 800°C pour tous les
types de substrat. Mise à part l’effet de la contamination liée à SiO2 chimique sur la
couche de référence, les profils d’états d’interface sur substrat oxydé évoluent peu
avec les recuits, ce qui prouve à nouveau une stabilité plus grande que sur substrat
HF-last.
12
2,0x10
12
1,8x10
Référence
800°C,15 min, N2
12
12
800°C, 30 min, N2
12
1000°C,15 min, N2
1,4x10
1,2x10
-1
-2
Dit (eV cm )
1,6x10
12
Al2O3/HF-last Si
1,0x10
11
8,0x10
11
6,0x10
11
4,0x10
11
2,0x10
0,0
-0,6
-0,5
-0,4
-0,3
-0,2
-0,1
0,0
Energie (eV)
-1
-2
Dit (eV cm )
(a)
2,0x10
12
1,8x10
12
1,6x10
12
1,4x10
12
800°C,30min, N2
1,2x10
12
1000°C,15min, N2
1,0x10
12
8,0x10
11
6,0x10
11
4,0x10
11
2,0x10
11
0,0
-0,6
Référence
800°C,15min, N2
Al2O3/t-SiO2
-0,5
-0,4
-0,3
Energie (eV)
(b)
CHANG Youjean - Thèse
133
-0,2
-0,1
0,0
-1
-2
Dit (eV cm )
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
2,0x10
12
1,8x10
12
1,6x10
12
1,4x10
12
1,2x10
12
1,0x10
12
8,0x10
11
6,0x10
11
4,0x10
11
2,0x10
11
Référence
800°C,15min
800°C,30min
1000°C,15min
Al2O3/c-SiO2
0,0
-0,6
-0,5
-0,4
-0,3
-0,2
-0,1
0,0
Energie (eV)
(c)
HF-last
t-SiO 2
12
c-SiO2
10
11
-1
-2
Dit (x10 eV cm )
14
8
6
4
2
ref
800°C 15 min
800°C 30 min 1000°C 15 min
(d)
Figure 3. 38 Densité d’états d’interface déterminé par la méthode haute et basse
fréquence combinée pour les échantillons sur (a) ~4 nm Al2 O 3 /HF-last Si (b)
~4 nm
Al 2 O 3 /0,5 nm SiO 2 thermique (t-SiO 2 ) et (c) ~4 nm Al 2 O 3 /0,7 nm SiO 2 chimique (c-SiO 2 ) en
fonction de conditions de recuit (d) maximum valeur D it
en fonction de la préparation de
surface et du recuit.
Il est également intéressant de regarder l’évolution de la densité d’états
d’interface sous l’effet d’un recuit forming gas (Figure 3. 39). Il est en effet connu
qu’un recuit à basse température sous N2 /H2 , souvent effectué après l’étape de
métallisation, réduit la densité d’états à l’interface SiO2 /Si [Nicollian1992],
[Asuha2002], [Wilde2002].
Après un premier recuit à 800°C, 15 minutes sous N2 , un deuxième recuit
supplémentaire à basse température sous différentes conditions (temps, ambiance) a
été effectué (Figure 3. 39 (a)). Un recuit sous N2 ou N2 /H2 (5%) 30 minutes conduit
CHANG Youjean - Thèse
134
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
à réduire de façon notable la densité d’états d’interface. Pourtant, lorsque la
concentration d’H2 est plus élevée (10%), ou que la durée du recuit est plus longue,
on peut observer un ré-augmentation de la densité d’états d’interface. Il est dans ce
cas, possible que des liaisons pendantes soient re-générées sous ces conditions de
recuit.
12
2,0x10
Référence
800-15, N2
12
1,8x10
800-15, N2 + 425-30, N2
12
1,6x10
800-15, N2 + 425-30, N2/H2(5%)
800-15, N2 + 425-30, N2/H2(10%)
800-15, N2 + 425-60, N2
12
1,2x10
800-15, N2 + 425-60, N2/H2(5%)
-1
-2
Dit (eV cm )
12
1,4x10
12
1,0x10
11
8,0x10
11
6,0x10
11
4,0x10
11
2,0x10
0,0
-0,6
-0,5
-0,4
-0,3
-0,2
-0,1
0,0
Energie (eV)
(a)
12
2,0x10
Référence
1000°C, 15 min, N2
12
1,8x10
1000°C, 15 min, N2 + 425°C, 60 min, N2
12
1,6x10
1000°C, 15 min, N2 + 425°C, 60 min, N2/H2(5%)
-2
Dit (eV cm )
12
1,4x10
12
-1
1,2x10
12
1,0x10
11
8,0x10
11
6,0x10
11
4,0x10
11
2,0x10
0,0
-0,6
-0,5
-0,4
-0,3
-0,2
-0,1
0,0
Energie (eV)
(b)
Figure 3. 39 Effet de recuit sous forming gaz sur la densité d’états d’interface pour les
échantillons ~4 nm Al2 O 3 /HF-last Si
En fait, si un recuit forming gas a des effets bénéfiques bien connus sur
l’interface SiO2 /Si, les conclusions ne sont pas aussi immédiates pour les interfaces
high-κ/Si. Chanelière a déterminé la densité d’états d’interface pour des films de
Ta2 O5 amorphes et cristallins [Chanelière1999]. Pour les films de Ta2 O5 cristallins,
CHANG Youjean - Thèse
135
Chapitre III – Al 2 O 3 diélectrique à permittivité modeste
le recuit forming gas n’était pas efficace tandis que son effet est plus significatif
qu’un recuit N2 pour les films amorphes. Concernant le cas d’un premier recuit à
1000°C, le recuit supplémentaire sous forming gas est plus efficace que sous N2
(Figure 3. 39 (b)).
3.4
Conclusions
Dans ce chapitre nous avons étudié les propriétés physico-chimiques et
électriques des films d’Al2 O3 . Nous avons observé la bonne stabilité thermique pour
Al2 O3 , qui conserve un caractère amorphe pour des températures de recuits
supérieures à 800°C. Une couche de transition, principalement constituée de SiO2
ou (et) de silicate, est observée à l'interface avec le substrat de Si. Celle-ci se forme
pendant le dépôt et les traitements thermiques ultérieurs et dépend fortement de la
préparation du substrat avant dépôt. Les films déposés sur les substrats oxydés
montrent les propriétés électriques supérieures à ceux sur substrat HF-last. La
conduction du type Fowler-Nordheim est dominant dans la couche d’Al 2 O3 mince.
Les charges dans l’oxyde sont négatives et elles augmentent après recuit. Le recuit
spike ≥ 950°C qui perturbe peu l’interface conduit à un CET plus faible et réduit
l’effet de stretching. Le recuit sous forming gas était plus efficace que sous N2 pour
diminuer la densité d’états d’interface lorsqu’il suit un premier recuit à 1000°C. Les
conditions de recuit sous forming gas (temps, atmosphère, température) sont
critiques pour réduire la densité d’états d’interface.
CHANG Youjean - Thèse
136
Chapitre 4
HfO2 diélectrique à haute
permittivité
CHANG Youjean - Thèse
Chapitre IV – HfO 2 diélectrique à haute permittivité
4> HfO2 diélectrique à haute permittivité
4.1
Introduction
Le HfO2 est un des matériaux high-κ les plus étudiés à l’heure actuelle
comme diélectrique alternatif de grille pour la technologie CMOS grâce à sa
constante diélectrique assez élevée (ε=25-40 [Harrop1968], [Balog1977]) et sa
relative facilité d’élaboration. Mais la stabilité thermique des couches minces
d’HfO2 n’est pas encore optimale, particulièrement après recuit à haute température
(>600°C). La cristallisation d’HfO2 commence pour des températures comprises
entre 500 et 600°C. Une couche de silicate d’Hafnium HfSi2 se forme entre HfO2 et
Si [Lin2002b]. Ce problème de stabilité thermique demande une étude physicochimique et électrique approfondie de l’interface high-κ/Si. Au fur et à mesure des
recherches dans le domaine des matériaux high-k, diverses extensions utilisant HfO2
ont été envisagées : 1) l’alliage avec Al (aluminate, (HfO2 )x (Al2 O3 )1-x) 2) le silicate
d’Hf 3) un empilement de doubles couches (HfO 2 /HfSix Oy(Hf)) avec pour but
d’améliorer la stabilité thermique tout en conservant de bonnes propriétés
diélectriques. Parmi les résultats actuels, Lee et al. ont obtenu un EOT très faible de
7,8 Å déposé par Rapid Thermal Chemical Vapor Deposition avec un courant de
fuite excellent (Jg =0,5 mA/cm2 à -1V) et une constante diélectrique relativement
élevée (ε ~25) [Lee2002]. L’intégration de HfO2 comme diélectrique de grille dans
un transistor avec une grille Si-poly ou métallique a été réalisée par plusieurs
auteurs. Malgré la diminution de la mobilité dans le canal, les performances des
transistors sont satisfaisantes (cf. chapitre 1 §1.4.4).
Dans ce chapitre, nous comparerons deux techniques d’élaboration de HfO2 ,
la pulvérisation ionique et l’ALD. Les études menées sur HfO2 par pulvérisation
ionique avant le démarrage au LETI de l’élaboration d’HfO2 par ALD avaient pour
but de nous familiariser avec ce matériau et de montrer les limitations de cette
technique de dépôt. Les matériaux HfO2 ALD que nous avons étudiés ensuite ont
été élaborés au CEA-LETI à Grenoble dans le cadre du projet « KAPPA RMNT
Elaboration, caractérisation, modélisation et fiabilité de l’oxyde d’hafnium (HfO2 )
utilisé comme isolant de grille de haute permittivité (high-κ) pour des technologies
CMOS sub-0,1 micron ». Notre étude s’est principalement focalisée sur des
structures MOS à électrode métallique déposée par évaporation. Notre objectif dans
ce chapitre n’est pas de mener une étude exhaustive de ce matériau, mais plutôt de
faire un parallèle avec Al2 O3 en ce qui concerne la croissance par ALD. Le lecteur
pourra se référer à d’autres études plus approfondies concernant notamment
l’empilement Si-poly/HfO2 /Si développées par ailleurs et qui ne seront pas abordées
ici (cf. [Garros2002b], [Martin2001], [Damlencourt2002b]).
CHANG Youjean - Thèse
138
Chapitre IV – HfO 2 diélectrique à haute permittivité
4.2
Etudes par technique pulvérisation ionique
En introduction à ce chapitre, nous présentons quelques résultats sur des films
d’HfO2 déposés par pulvérisation ionique (IBS : Ion Beam Sputtering) élaboré par
B. André [André2000]. Les films d’HfO2 ont été élaborés par deux méthodes : 1)
IBS réactive à partir d’une cible d’Hafnium 2) oxydation d’un film d’Hafnium
déposé par IBS sur un substrat de silicium.
Concernant la première méthode, les atomes Hf fortement énergétiques
permettent d’obtenir des dépôts denses à froid (Figure 4. 1 ). Un flux d’oxygène est
introduit dans le réacteur dans le but d’oxyder le métal durant la condensation sur le
substrat de silicium. Une épaisseur visée de 8,5 nm d’HfO2 est déposée soit sur SiO2
thermique de 2 nm, soit sur un oxyde natif selon les échantillons. Toutes les plaques
ont subi un recuit de densification à 350°C sous O 2 , puis un second recuit à
différentes température et finalement un recuit sous N2 /H2 à 350°C pour réduire la
densité d’états d’interface. Un récapitulatif des échantillons testés est présenté dans
le Tableau 4. 1.
Figure 4. 1 Schéma d’IBS réactive (d’après [André2000])
Echantillons n°
1
2
3
4
5
Oxyde
21,6 Å
21,6 Å
21,6 Å
natif
Natif
350°C
350°C
350°C
350°C
350°C
550°C
700°C
800°C
550°C
700°C
interfacial
Recuit sous O2
5 min
Recuit sous O2
1 min
CHANG Youjean - Thèse
139
Chapitre IV – HfO 2 diélectrique à haute permittivité
350°C
350°C
350°C
350°C
350°C
CET (Å)
70-73
70-73
65-68
45-50
45-50
κeff
4,7
4,7
4,9
6,6
6,6
Recuit sous
N 2 /H 2 30 min
Tableau 4. 1 Récapitulatif des échantillons étudiés : HfO 2 (~8,5 nm) élaboré par
pulvérisation ionique réactive sous plasma O 2 . L’oxyde interfacial représente la couche de
SiO 2 existant avant le dépôt de HfO 2 . κeff prend en compte la couche de HfO 2 totale,
interface comprise.
Pour HfO2 déposé par pulvérisation ionique réactive, les CET déduites
(Tableau 4. 1) sont très élevées aussi bien pour un dépôt sur 2 nm de SiO2 que sur
oxyde natif. Il est vraisemblable que l’ambiance d’oxygène durant le dépôt de
l’oxyde de Si de même que la diffusion d'oxygène à travers la couche d’HfO2 lors
des recuits contribuent à augmenter de façon significative la couche interfaciale. En
effet une re-croissance de la couche interfaciale (~1,5 nm) a été observée par
HRTEM après dépôt. En tenant compte que la couche interfaciale n’a pas beaucoup
grandit après recuit à 700°C sous O 2 (~1,9 nm), la croissance de SiO2 a plutôt lieu
pendant le dépôt d’HfO2 que pendant le recuit.
Figure 4. 2 Clichés de HRTEM en coupe transverse d’HfO 2 déposé par IBS réactive sur
oxyde natif (d’après [André2000])
Alors que la température de recuit a peu d'influence sur le CET, les charges
dans l'isolant et les effets d'hystérésis sont nettement réduits par un recuit de 800°C,
1 minute. De même, une température de recuit plus élevée entraîne une diminution
du courant de grille en accumulation et une augmentation de la tension de claquage
(Figure 4. 3 ).
CHANG Youjean - Thèse
140
Chapitre IV – HfO 2 diélectrique à haute permittivité
2
J (A/cm )
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
échantillon n°1
échantillon n°2
échantillon n°3
0
2
4
6
8
10
V g (V)
Figure 4. 3 Densité de courant de grille en fonction de la température de recuit des
caractéristiques I-V en accumulation de couches HfO 2 déposées par IBS réactive sur 2 nm
de SiO 2 . Les desciptions des échantillons sont présenté dans le Tableau 4. 1
La seconde méthode concerne HfO2 obtenu par oxydation d'un film d'hafnium
déposé par IBS sur un substrat HF-last. Cette technique a été adaptée dans le but
d’avoir un meilleur contrôle de la couche interfaciale [Lee2000b]. Les conditions
d’élaboration des échantillons sont résumées dans le Tableau 4. 2 ainsi que les
valeurs de CET déduites des caractéristiques C-V en accumulation. Les CET sont
beaucoup plus faibles que dans le cas de l’IBS réactive. Il semble toutefois qu’un
recuit supplémentaire sous O2 contribue à augmenter légèrement le CET, ainsi que
les effets d'hystérésis et la présence de charges négatives dans l'isolant. Ces charges
sont mises en évidence par le décalage de V FB des caractéristiques C-V par rapport à
la référence SiO2 .
Echantillon n°
6
7
Oxyde interfacial
/
/
Recuit sous N2 1 min
550°C
550°C
Recuit sous O2 1 min
/
700°C
CET (Å)
35-37
38-40
κeffective
8,4
7,8
Tableau 4. 2 Récapitulatif des échantillons étudiés : HfO 2 (~8 nm) élaboré par oxydation
d’Hf pulvérisé.
L’analyse HRTEM nous montre que la couche interfaciale peut être mieux
contrôlée (l’épaisseur est réduite) par cette technique d’oxydation (Figure 4. 4 ).
Mais elle se heurte à un problème : l’oxydation de la couche n’est pas homogène en
profondeur [Martin2001].
CHANG Youjean - Thèse
141
Chapitre IV – HfO 2 diélectrique à haute permittivité
Figure 4. 4
Clichés de HRTEM en coupe transverse d’HfO 2 élaboré par oxydation d’Hf
déposé par IBS sur substrat HF-last. L’épaisseur de HfO 2 et de la couche interfaciale est
7,44 et 0,45 nm respectivement (d’après [Martin2001]).
Le gain au niveau du courant est illustré en Figure 4. 5 : les valeurs observées
sont de plusieurs ordres de grandeur inférieures par rapport à une épaisseur
équivalente en SiO2 .
2
10
Hf réoxydé
1
10
0
10
échantillon n°7
2
)
-1
J (A/cm
SiO2
pulvérisation ionique réactive
échantillon n°6
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
5
10
15
20
25
30
35
40
45
50
EOT (A)
Figure 4. 5 Densité de courant en accumulation (V g =-1,5 V) de films d’HfO 2 en fonction
des conditions de recuit. L’échantillon SiO 2 de 3 nm est donné pour comparaison.
Malgré ces gains en courant obtenus, nous ne sommes pas allés plus loin dans
l’exploration de ces deux méthodes de pulvérisation en raison de la difficulté
d’avoir un compromis entre le contrôle de la couche interfaciale et la qualité du film
d’HfO2 . La suite de ce chapitre est consacrée à l’étude d’HfO2 déposé par ALD.
CHANG Youjean - Thèse
142
Chapitre IV – HfO 2 diélectrique à haute permittivité
4.3
Propriétés physico-chimiques d’HfO 2 déposé par ALD
Dans cette partie nous nous focalisons sur les propriétés de l’interface entre
HfO2 et Si en fonction de la température de recuit. Le changement de phase de la
couche d’HfO2 après recuit a été observé par HRTEM. Les analyses XPS montrent
la composition de la couche interfaciale et son évolution pendant le recuit. Les
caractéristiques physico-chimiques des films d’HfO2 ont été réalisées au CEA/LETI
à Grenoble et les résultats ont été publiés dans divers articles, [Renault2002b],
[Renault2002c], [Damlencourt2002b].
4.3.1
HRTEM
La Figure 4. 6 montre les clichés HRTEM de couches minces d’HfO2
déposées sur SiO2 avant et après recuit. La couche d’HfO2 est complètement
cristallisée après recuit à 800°C et l’épaisseur est réduite sous l’effet de la
densification du film. Cette diminution de l’épaisseur apparaît toutefois plus
modérée que celle que nous avions observée sur Al 2 O3 (cf. §3.2.2-1). Par contre,
l’épaisseur de la couche interfaciale a peu changé après le recuit (de 1,2 nm à 1,4
nm). La rugosité des interfaces (Si/SiO2 et SiO2 /HfO2 ) après recuit est de 0,54 nm.
(a)
CHANG Youjean - Thèse
143
Chapitre IV – HfO 2 diélectrique à haute permittivité
(b)
Figure 4. 6 Clichés de HRTEM pour les échantillons HfO 2 /0,6 nm SiO 2 chimique (a)
référence,
non
recuit
(b)
recuit
à
800°C
sous
N2
pendant
15
minutes
(d’après
[Garros2002b])
4.3.2
XPS
Les spectres ARXPS d’Hf 4f et Si 2p ont été réalisés pour des échantillons
d’HfO2 d’épaisseur 1 à 5 nm déposés sur SiO2 chimique (Figure 4. 7 ). Alors que
seule la liaison Hf-O (à 17,5 eV) est observée sur la couche de 5 nm (Figure 4. 7
(a) ) une autre composante apparaît sur l’échantillon de HfO 2 plus mince ( Figure 4.
7 (b), (c) ). Il est décalé de 0,7 eV par rapport au pic d’HfO 2 et peut être attribué à
HfOx Siy. Lorsque l’angle de détection (θ) varie de 15° (Figure 4. 7 (c)) à 75°
(Figure 4. 7 (b)) l’intensité relative de la composante HfOx Siy augmente. C'est-àdire qu’une très fine couche de silicate est présente et principalement située en
dessous de la couche d’HfO2 plutôt qu’en surface. Le spectre de Si 2p met aussi en
évidence la présence de silicate d’Hafnium. Comme dans le cas d’Al2 O3 , une
composante supplémentaire Si* (notée « SiOHf » sur la Figure 4. 7 (d)) est
observée à une énergie supérieure de 3,1 eV à celle de Si0 du substrat Si (cf.
chapitre 3 §3.2.2-2 ). Il est supposé qu’une couche de silicate d’Hf se forme par
réaction entre HfCl4 et SiO2 lors du premier cycle du dépôt [Damlencourt2002b].
En effet cette composante Si* n’est pas observée sur une structure SiO 2 /Si (avant le
dépôt d’HfO2 ) et n’apparaît qu’après le dépôt d’HfO2 . Comme cette composante Si*
est peu décalée en énergie (-0,7 eV) par rapport à Si4+, elle est attribuée au silicate
d’Hf riche en Si [Renault2002b]. La formation d’une couche de silicate d’hafnium
pendant le dépôt d’HfO2 a aussi été observée par Park et al. [Park2002],
[Lin2002b], [Wilk2000a].
CHANG Youjean - Thèse
144
Chapitre IV – HfO 2 diélectrique à haute permittivité
Figure 4. 7 Spectres d’Hf 4f (a) 5 nm HfO 2 /SiO 2 /Si à θ=35° (b) 1 nm HfO 2 /SiO 2 /Si à θ=75°
(c) 1 nm HfO 2 /SiO 2 /Si à θ=15° et (d) spectres de Si 2p de 1 nm HfO 2 /SiO 2 /Si à θ=75°. Les
échantillons sont tels que déposés. La couche de SiO 2 est formée dans une solution acide
(SiO 2 chimique) en épaisseur de 0,3 nm. (d’après [Renault2002c])
Intéressons-nous maintenant à l’effet du recuit sur la composition de la
couche interfaciale. Après recuit à 600°C, les spectres Hf 4f sont constitués d’une
composante liée à la liaison Hf-O à 17,65 eV et d’une seconde Hf* déplacée en
énergie de +0,6 eV , liée au silicate. Quant au spectre Si 2p, la composante silicate
Si* est aussi toujours observée. L’étude de l’intensité relative des composantes en
fonction des conditions de recuit est présentée en Figure 4. 8 . L’intensité relative
des composantes liées au silicate (Hf*) n’est pas influencée par le recuit. La valeur
de l’intensité relative d’Hf* est constante. Pourtant on remarque quelques
changements après recuit. D’abord on note que l’intensité de Si4+ augmente: il y a
une re-croissance de SiO2 après recuit. Par ailleurs, l’intensité de Si* diminue. Cela
signifie que la couche de silicate d’Hf s’enrichit en Hf. D’autre part, la couche
interfaciale a augmenté après le recuit à 800°C jusqu’à 1 nm, ceci est dû à la recroissance de SiO2 .
CHANG Youjean - Thèse
145
Chapitre IV – HfO 2 diélectrique à haute permittivité
Figure 4. 8 Représentation de l’intensité relative des composantes et de l’épaisseur de
l’interface estimée par des mesures ARXPS en fonction des conditions de recuit pour 2,5
nm HfO 2 /0,6 nm SiO 2 chimique (d’après [Damlencourt2002b]).
En résumé, les mesures ARXPS mettent en évidence que l’interface HfO2 /Si
est constituée d’une couche de SiO2 en dessous d’une très fine couche de silicate
d’Hf riche en Si. Le recuit transforme la couche de silicate d’Hf enrichie en Hf et
favorise une re-croissance de SiO2 .
4.3
Propriétés électriques d’HfO 2 déposé par ALD
Les caractéristiques I-V et C-V ont été effectués sur des échantillons d’HfO 2
de 3,5 à 8 nm d’épaisseur, mais l’étude s’est principalement focalisée sur les films
de 5nm d’épaisseur, non recuit et recuits à 600°C (800°C) sous N2 pendant 1 (15)
minutes.
4.3.1
Caractérisations I-V
La Figure 4. 9 présente les caractéristiques I-V mesurées en accumulation
pour des échantillons de ~5 nm de HfO2 déposé sur 0,7 nm de SiO2 chimique. Nous
observons un courant plus faible pour l’échantillon recuit à 800°C que pour celui
non recuit et celui recuit à 600°C surtout au-delà de 1 V. En tenant compte que
l’augmentation de l’épaisseur de la couche interfaciale après recuit à 800°C est
faible (cf. Figure 4. 6 ), on peut supposer que cette réduction du courant est
principalement liée à un changement de propriété de la couche d’HfO2 et (ou) de la
couche interfaciale après recuit à 800°C : la passivation des défauts par exemple.
CHANG Youjean - Thèse
146
Chapitre IV – HfO 2 diélectrique à haute permittivité
On peut également noter que la cristallisation pourtant complète après recuit à
800°C (cf. image HRTEM Figure 4. 6 ) n’entraîne pas de dégradation du courant de
fuite. Le fait que la cristallisation pour les couches minces (≤ ~5 nm) n’influence
pas le niveau de courant confirme nos observations sur les couches d’Al2 O3 de 4
nm (cf. § 3.3.1-2). De même, Kim et al. ont montré récemment que la cristallisation
complète autour de 700°C ne dégrade pas le courant pour des couches HfO 2 de 3 nm
d’épaisseur déposées sur 1,5-2,5 nm de SiO2 thermique par ALD. Cet effet a aussi
été rapporté sur Y2 O3 [Guha2000].
2
Densité de courant (J, A/cm )
Le niveau de courant de nos échantillons est comparable aux résultats publiés
précédemment en fonction de l’épaisseur de couches d’HfO2 et il est plus faible que
SiO2 à même EOT (Figure 4. 10).
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
as-dep
recuit 600°C
recuit 800°C
0
1
2
3
Tension de grille (Vg, -V)
Figure 4. 9 Densité de courant de grille en accumulation pour les échantillons d’HfO2 5
nm/0,7 nm SiO 2 non recuit et recuits. La légende « as-dep » indique l’échantillon de
référence, sans recuit après dépôt.
CHANG Youjean - Thèse
147
J (A/cm2) @ 1V
Chapitre IV – HfO 2 diélectrique à haute permittivité
P06 600°C
P06 800°C
p04 as dep
CET (nm)
Figure 4. 10 Comparaison de la densité de courant en fonction de CET (nm) pour les
échantillons HfO 2 5 nm/0,7 nm SiO 2 , comparé aux données de la littérature (symboles
vides : HfO 2 est déposé par pulvérisation réactive [Lee1999]. ‘P04 as-dep’ est la
référence, ‘p06 600°C’, ‘p06 800°C’ représente les échantillons recuits à 600°C et 800°C
respectivement.
Afin d’analyser les mécanismes de conduction du courant, les caractéristiques
I-V en accumulation ont été étudiées pour des températures allant de 80K à 450K
sur un film HfO2 de 5 nm d’épaisseur. La Figure 4. 11 présente la densité de courant
(à gauche) et l’étude de la variation du courant dans une représentation de type
Poole-Frenkel (à droite). La relation linéaire ln(J/E) vs. E1/2 depuis la température
ambiante vers les hautes températures met en évidence que le mécanisme de
conduction du courant est de type Poole-Frenkel aussi bien pour la référence et les
échantillons recuits. Ce type de mécanisme de conduction a aussi été ainsi observé
par Tavel et al. sur des structures d’HfO2 à grille Si poly, d’épaisseurs 3 et 4,5 nm,
élaborés dans les mêmes conditions que nos échantillons [Tavel2002].
10-4
26
-Ln (J/E) (Ln (A/V.cm))
10
-5
J(A/cm2) HfO2 5 nm as-dep, en température
2
J (A/cm )
10-6
10-7
80K
100K
150K
200K
250K
300K
350K
400K
450K
10-8
10-9
10-10
10-11
-0,5
Poole - Frenkel Plot HfO2 5 nm as-dep
28
30
34
1,5 104
0
0,5
1
1,5
Vg(V)
2
2,5
3
3,5
(a)
CHANG Youjean - Thèse
148
80K
100K
150K
200K
250K
300K
350K
400K
450K
32
(E)
1/2
2 104
(V/cm)
1/2
2,5 104
Chapitre IV – HfO 2 diélectrique à haute permittivité
10-4
J(A/cm2) HfO2 5 nm recuit 600°C, en température
10-5
80K
100K
150K
200K
250K
300K
350K
400K
450K
28
-ln (J/E) (A/V.cm)
2
J(A/cm )
10-6
10-7
80K
100K
150K
200K
250K
300K
350K
400K
450K
10-8
10-9
10-10
10
-11
30
Poole-Frenkel plot HfO2 5 nm recuit 600°C
32
34
36
38
0
0,5
1
1,5
2
2,5
Vg(V)
3
3,5
1,9 104
2 10 4
2,1 104
1/2
(E)
2,2 104
2,3 104
2,4 104
2,5 104
2,2 104
2,3 104
2,4 104
2,5 104
(V/cm)
1/2
(b)
25
10-3
2
10-4
80K
100K
150K
200K
250K
300K
350K
400K
450K
2
J(A/cm )
10-5
10-6
10-7
-ln ( J / E ) ( A / V.cm )
J(A/cm ) HfO2 5 nm recuit 800 ° C, en température
T=450°K
10-8
T=80 ° K
10-9
10-10
300K
350K
400K
450K
26
27
28
Poole-Frenkel plot
29
30
31
1,9 104
-1
0
1
2
Vg(V)
3
4
2 10 4
2,1 104
1/2
5
(E)
( (V/cm)
1/2
))
(c)
Figure 4. 11 Densité de courant mesurée à différentes températures et courant de fuite
dans une représentation de type Poole-Frenkel pour les échantillons 5 nm HfO2 /0,7 nm
SiO 2 (a) référence, non recuit (b) recuit à 600°C (c) recuit à 800°C
4.3.2
Caractérisations C-V
4.3.2-1 Caractérisations C-V à température ambiante
Les caractéristiques C-V pour les échantillons non recuits et recuits sont
présentées en Figure 4. 12. Les tensions de bande plate pour les trois échantillons
sont décalées vers les tensions positives ce qui indique la présence de charges
négatives dans l’oxyde. Cet effet est principalement marqué après un recuit à
600°C.
CHANG Youjean - Thèse
149
2,0x10
-6
1,8x10
-6
1,6x10
-6
1,4x10
-6
1,2x10
-6
1,0x10
-6
8,0x10
-7
6,0x10
-7
4,0x10
-7
2,0x10
-7
as-dep
recuit 600°C
recuit 800°C
2
Capacité (F/cm )
Chapitre IV – HfO 2 diélectrique à haute permittivité
0,0
-3
-2
-1
0
1
2
3
Tension de grille (Vg, V)
Figure 4. 12 Caractéristiques C-V pour les échantillons d’HfO 2 5 nm/0,7 nm SiO 2 non
recuit et recuits, mesurées à 100 Hz en ambiante
L’évolution du CET en fonction de l’épaisseur de HfO2 déposé donne une
estimation de l’épaisseur de la couche interfaciale de 0,8 nm avant recuit (Figure 4.
13 ). La valeur physique mesurée par HRTEM est de 1,2 nm. En se basant sur cette
différence, on peut en déduire que la constante diélectrique de la couche interfaciale
est 1,5 fois plus grande (κ ~5,9) que celle de SiO2 ; la couche interfaciale après
dépôt n’est donc pas complètement du SiO2 mais plutôt constituée de SiO2 et de
silicate. Ce fait confirme les résultats ARXPS. Cette constante diélectrique de la
couche interfaciale entre HfO2 et Si est en accord avec celles dans la littérature
[Park2002], [Callegari2001]. La constante diélectrique de HfO2 déterminée de la
pente de la droite est κ=18,5 (Figure 4. 13).
3,5
y = 0,78762 + 0,21619x R= 0,99927
3
As dep
recuit 425°C
recuit 600°C
recuit 800°C
eot
( nm)
CET
(nm)
2,5
2
1,5
1
0,5
0
0
2
4
6
8
(nm)
Epaisseur evisée
HfO2 de couche HfO2 (nm)
Figure 4. 13 CET en fonction de l’épaisseur de couche d’HfO2
CHANG Youjean - Thèse
150
Chapitre IV – HfO 2 diélectrique à haute permittivité
Les CET calculées à partir du Cox montrent une augmentation après recuit
(Figure 4. 14). Cette tendance a été observée par d’autres auteurs sur des couches
d’HfO2 élaborées dans des conditions similaires [Tavel2002], [Garros2002b] et
expliquée par la re-croissance de couche SiO2 qui diminue la constante diélectrique
de la couche totale (κeffective). Avant recuit la couche interfaciale est constituée
d’une très fine couche de silicate HfSix Oy et de SiO2 (SiOx ) ce qui donne une
constante diélectrique de la couche interfaciale plus élevée que SiO2 comme on
vient de le déduire par la Figure 4. 13. Mais après recuit, la couche de silicate
s’enrichit en Hf et devient plus proche de HfO2 que de la couche interfaciale. Alors
la couche interfaciale, observée par TEM est plutôt du SiO2 qui diminue la valeur de
κeffective [Damlencourt2003].
5
as-dep
recuit 600°C
recuit 800°C
4
CET (nm)
3,29 nm
3
2,35 nm
1,89 nm
2
1
recuit
600°C
as-dep
recuit
800°C
0
Echantillon
Figure 4. 14 CET en fonction des conditions de recuit pour les échantillons d’HfO 2 5
nm/0,7 nm SiO 2
Le profil de densité d’états d’interface (cf. Annexe C et D) est présenté en
Figure 4. 15 pour les différents recuits. La densité d’états d’interface pour
l’échantillon non recuit est assez élevée de l’ordre de 5x1012 eV-1 cm-2 . Elle diminue
après un recuit à 800°C à un niveau < 1x10 12 eV-1 cm-2 .
12
7x10
as-dep
recuit 600°C
recuit 800°C
12
6x10
-1
-2
Dit (eV cm )
12
5x10
12
4x10
12
3x10
12
2x10
12
1x10
0
-0,6
-0,5
-0,4
-0,3
-0,2
-0,1
0,0
Energie (eV)
Figure 4. 15 Densité d’états d’interface déterminée en fonction de l’énergie dans la bande
interdite pour les échantillons d’HfO 2 5 nm/0,7 nm SiO2 non recuit et recuits
CHANG Youjean - Thèse
151
Chapitre IV – HfO 2 diélectrique à haute permittivité
4.3.2-2 Caractérisations à température variable (80-450K)
Afin d’étudier la dépendance en température de la capacité [Nicollian1992]
les mesures C-V ont été effectuées successivement de 80K à 450K à fréquences
variables (20 Hz-1 MHz). Les épaulements observés sur les courbes sont attribués
au début de la couche d’inversion crée par la génération des charges minoritaires.
En suivant la variation de la fréquence de transition (fréquence la plus haute où
l’épaulement apparaît) en fonction de l’inverse de la température de mesure (Figure
4. 17 ), on peut en déduire l’énergie d’activation des porteurs minoritaires. La
fréquence de transition diminue lorsque la température de mesure diminue, c'est-àdire à mesure que le taux de génération diminue. D’après la Figure 4. 17, l’énergie
d’activation extraite et de : 0,33 eV pour la référence, 0,47 eV pour l’échantillon
recuit à 600°C et 0,59 eV pour l’échantillon recuit à 800°C. Ces énergies
d’activation augmentent en fonction de la température de recuit pour atteindre des
valeurs proches de la moitié de la bande interdite, ce qui est cohérent avec la
réduction des états d’interface observée après recuit.
1
A
P04 as-dep HfO2 - 5 nm - f=100hz
C/Cox
C/Cox
P06 recuit 600°C HfO2 - 5 nm - f=100hz
0,8
0,8
80K
100K
150K
200K
250K
300K
350K
400K
450K
0,6
0,4
0,2
-3
-2
80K
100K
150K
200K
250K
300K
350K
400K
450K
0,6
0,4
0,2
0
0
B
1
-1
0
1
2
-3
-2
-1
0
1
2
3
Vg(V)
3
Vg(V)
(a)
P06 recuit 800°C - HfO2 5 nm - f=100hz
C
1
(b)
0,8
C/Cox
Figure
0,6
80K
100K
150K
200K
250K
300K
350K
400K
450K
0,4
0,2
0
-3
-2
-1
normalisées
16
de
Caractéristiques
80
K
à
450
K
pour
C-V
les
échantillons d’HfO 2 5 nm (a) référence (b)
recuit
à
600°C
(c)
recuit
à
fréquence de mesure est 100 Hz.
0
1
2
3
Vg(V)
(c)
CHANG Youjean - Thèse
4.
152
800°C.
La
Chapitre IV – HfO 2 diélectrique à haute permittivité
106
E =0,33 eV
fréquence
de transition (Hz)
A
105
104
E =0,47 eV
A
E =0,59 eV
A
103
102
101
0,001
as-dep
recuit 600°C
recuit 800°C
-1
1/T (K )
0,01
Figure 4. 17 Variation de la fréquence de transition à laquelle l’inversion commence en
fonction de l’inverse de la température de mesure
4.4
Conclusions
Dans ce chapitre nous avons étudié des couches minces d’HfO 2 déposées par
ALD. Les points principaux à retenir sont les suivants :
•
L’interface HfO2 /Si est composée d’une couche de SiO2 en dessous d’une très
fine couche (1-2 monocouches) de silicate d’Hf riche en Si. La couche de
silicate d’Hf se forme pendant le dépôt d’HfO 2 par réaction entre HfCl4 et
SiO2 . La couche d’HfO2 après dépôt est amorphe et elle est cristallisée après
le recuit à 800°C sous N2 pendant 15 minutes. La couche interfaciale reste
stable sans forte modification d’épaisseur (1,2 nm et 1,4 nm avant et après
recuit respectivement). La constante diélectrique de HfO2 tel que déposé est κ
~18,5.
•
Le recuit à 800°C sous N2 pendant 15 minutes améliore les propriétés
électriques d’HfO2 : le courant de fuite, la tension de bande plate et la densité
d’états d’interface.
•
Le mécanisme de conduction à travers HfO2 est de type Poole-Frenkel à partir
de la température ambiante jusqu’à 450K pour les trois échantillons d’HfO2
d’épaisseurs 5 nm qu’ils soient recuits ou non.
•
Les caractérisations C-V à température variable (de 80K à 450K) montrent la
transition d’un régime de haute fréquence à un régime de basse fréquence
avec l’augmentation de la température. La fréquence de cette transition
augmente avec la température de mesure. L’énergie d’activation extraite est
0,59 pour l’échantillon recuit à 800°C ce qui est proche de la moitié de la
CHANG Youjean - Thèse
153
Chapitre IV – HfO 2 diélectrique à haute permittivité
bande interdite, ce qui indique que l’on est en présence d’un phénomène de
génération recombinaison à travers des pièges dans le volume.
CHANG Youjean - Thèse
154
Chapitre 5
SrTiO3 diélectrique à très
haute permittivité
CHANG Youjean - Thèse
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
5> SrTiO3 diélectrique à très haute
permittivité
5.1
Introduction
En microélectronique, les applications des matériaux ferroélectriques sont
double : d’une part, leur constante diélectrique élevée est mise à profit pour
augmenter la capacité de stockage des charges dans les DRAM, d’autre part, leur
caractère ferroélectrique est utilisé pour les mémoires non-volatiles. Aujourd’hui,
l’intérêt des oxydes cristallins, notamment de la famille des pérovskites ( Figure 5.
1 ) est grandissant pour leur intégration comme diélectrique de grille dans les
technologies CMOS. Par leurs potentialités à produire une interface « idéale » avec
le silicium, contrairement aux oxydes amorphes, les oxydes cristallins représentent
une alternative qui mérite d’être explorée.
Le titanate de Strontium (SrTiO3 ) est l’un des premiers oxydes cristallins
étudié pour cette application. Il appartient à la famille des pérovskites (Figure 5. 1 )
de formule ABO3 où A est un cation de grand rayon de coordination 12 (ex. Ba, Ca,
Pb, Na, K, Sr) qui occupe les espaces vides d’un octaèdre formé par les atomes
d’oxygène alors que B est un cation de petit rayon (Ti, Zr, Nb, Ta) de coordination
6 et sont situés au centre de l’octaèdre. Les composés de cette structure (BaZrO3 ,
SrTiO3 , BasnO3 , SrZrO3 ) ne sont pas ferroélectriques.
ρ=5,11 g/cm 3
a=3,905 Å
rSr
2+
=1,44 Å
rTi
4+
=0,605 Å
dSr 2+ -O 2- =2,761 Å
rO 2- =1,36 Å
dTi 4+ -O 2- =1,952 Å
Figure 5. 1 Structure Pérovskite cubique de SrTiO3
CHANG Youjean - Thèse
156
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
En 1997 Eisenbeiser et al. [Eisenbeiser2000] à Motorola ont intégré SrTiO3
comme isolant de grille dans un transistor MOS et obtenu des performances
intéressantes. McKee et al. [McKee1998] à Oak Ridge Laboratory ont réussi à
déposer SrTiO3 par MBE sur Si en démontrant une interface quasi parfaite avec le
silicium, en éliminant complètement la couche de silice, et obtenu en conséquence
un EOT très faible (> 10 Å). Récemment Jeon et al. [Jeon2002] ont publié des
résultats électriques encourageants (EOT : 5,4 Å) sur des structures MOS avec des
films de 10 nm de SrTiO3 épitaxiés par MBE. Pourtant peu d’études ont jusqu’à
présent, été consacrées à SrTiO3 comme diélectrique de grille, qui pourrait
constituer une solution pour les générations à plus long terme (EOT visé < 0,5 nm).
Dans ce chapitre, nous présentons les premiers résultats de caractérisation
électrique d’un film de SrTiO3 déposé sur Silicium par MOCVD à injection. Cette
étude est menée collaboration avec le LMGP pour les parties élaboration et analyses
physico-chimiques et structurales des matériaux réalisées par Laurent Auvray et
Sandrine Lhostis [Auvray2003c], [Auvray2002a], [Lhostis2003a]. Le chapitre sera
divisé en deux parties, en fonction des précurseurs utilisés : 1) précurseurs
mélangés Sr(thd)2 triglyme + Ti(OiPr)2 (thd)2 avec pour solvant 1,2-dimethoxyethane
et 2) précurseur bimétallique Sr2 Ti2 (thd)4 Ti avec l’octane comme solvant.
5.2
Etude de SrTiO 3 élaboré avec des précurseurs mélangés standards :
Sr(thd) 2 triglyme+Ti(Oi Pr) 2 (thd) 2
Comme nous l’avons vu au chapitre 2, dans ce cas, les composés métalliques
Sr et Ti sont amenés par deux précurseurs distincts le Sr(thd)2 triglyme et le
Ti(OiPr)2 (thd)2 qui sont mélangés avant d’être envoyés dans la chambre
d’élaboration par l’intermédiaire d’un système à injection. Le travail qui a été
menée s’est focalisé sur l’étude de l‘influence des propriétés électriques de certains
paramètres relatifs à la croissance : la température de dépôt, la composition et la
concentration de précurseurs en solution ainsi que l’épaisseur des films et l’effet de
recuits post-croissance. Comme dans les précédents chapitres, nous tenterons de
corréler les résultats électriques aux résultats physico-chimiques et morphologiques
obtenus au LMGP.
5.2.1
Influence des paramètres de dépôt sur les propriétés de films de SrTiO3 /Si :
5.2.1-1 Influence de la température de dépôt
CHANG Youjean - Thèse
157
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
Dans la première série d’échantillons, le paramètre variable est la température
de dépôt (Td ) qui varie de 500°C à 800°C. La composition de Sr/Ti en solution est
fixée à 1,3 et la concentration des précurseurs à c=0,034 mol/l. Le SrTiO3 est
déposé sur un substrat de Silicium de type n oxydé par une couche de 8 Å de SiO2
chimique. L’épaisseur des films est de 80-90 nm.
Les premières analyses ont montré qu’à faible température de croissance (≤
550°C) : 1) la vitesse de croissance est nettement réduite 2) le film est devenu riche
en Sr (Sr/Ti >1) 3) le film est complètement amorphe à 500°C.
La rugosité de la surface a été analysée par AFM en mode contact. A 500°C le
film est amorphe. Lorsque la température de dépôt augmente de 600°C à 750°C, la
rugosité RMS (Root Mean Square) diminue de 10,4 à 3,12 nm (Figure 5. 2 ).
D’ailleurs on note que la taille des grains diminue de 110 à ~ 40 nm lorsque la
température de dépôt augmente de 600°C à 750°C, et qu’en conséquence, leur
densité augmente. Plus la température de dépôt augmente, plus la cristallisation de
la couche de SrTiO3 est activée.
(a)
(b)
(c)
(d)
Figure 5. 2 Images AFM sur l’évolution de la morphologie des couches SrTiO 3 en fonction
de la température de dépôt de (a) 500°C (b) 600°C (c) 700°C (d) 750°C. La taille des
grains diminue avec la température de dépôt. La concentration de la solution est de 0,034
mol/l. (d’après [Auvray2002b])
CHANG Youjean - Thèse
158
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
2
Densité de courant (J, A/cm )
La Figure 5. 3 présente l’évolution de la densité de courant de grille avec la
température de dépôt. Le courant de fuite augmente avec l’état de cristallisation des
films par l’intermédiaire des joints de grains qui deviennent plus nombreux,
fournissant ainsi des chemins de fuite privilégiés.
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
500°C
600°C
700°C
750°C
0
1
2
3
4
Vg (V)
Figure 5. 3 Evolution de la densité de courant en fonction de la température de dépôt
5.2.1-2 Influence de l’épaisseur des films
Dans la série suivante, nous avons comparé des films de différentes épaisseurs
de SrTiO3 (de 5 nm à 220 nm). La température de dépôt est de 700°C et la
concentration de précurseurs c=0,017 mol/l. La tendance générale observée est une
augmentation du degré de cristallisation de la couche avec son épaisseur : Alors que
le film de 5 nm est totalement amorphe, le film le plus épais montre la présence de
larges zones poly-cristallines ( Figure 5. 4 ).
La constante diélectrique extraite des C-V (Figure 5. 5 ) augmente
continûment avec l’épaisseur (Figure 5. 4 ). Les images TEM correspondants à
chaque échantillon montrent qu’il existe une corrélation directe entre l’état de
cristallisation de la couche et sa constante diélectrique.
CHANG Youjean - Thèse
159
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
Figure 5. 4 Constante diélectrique en fonction de l’épaisseur du film SrTiO 3 corrélation
avec l’état de cristallisation du film observé par TEM (d’après [Auvray2002a]).
6,0E-03
2
Capacité (F/cm )
16
15
2
8
20
5
19
5,0E-03
4,0E-03
3,0E-03
2,0E-03
1,0E-03
0,0E+00
-3
-2
-1
0
1
2
3
Vg (V)
Figure 5. 5 Caractéristiques C-V en fonction de l’épaisseur des films de SrTiO 3 sur
substrat type n (16 : 220 nm, 15 : 110 nm, 2 : 105 nm, 20 : 56 nm, 8 : 77 nm, 5 : 11
nm, 19 : 5 nm)
CHANG Youjean - Thèse
160
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
Si l’on compare la densité de courant en fonction de l’épaisseur du film (
Figure 5. 6 , Figure 5. 7 ) nous observons une tendance intéressante : lorsque le film
est inférieur à 50 nm, le courant diminue avec l‘épaisseur alors qu’à partir de 50
nm, il ré-augmente. Comme précédemment, cet effet est expliqué par l’évolution de
la cristallisation du film (Figure 5. 4 ). Pour des couches inférieures à 50 nm, le film
est amorphe ou partiellement cristallisé, il se comporte essentiellement comme un
amorphe et donc le courant augmente à mesure que son épaisseur diminue. Mais
lorsque SrTiO3 devient plus épais (> 50 nm), l’effet des zones cristallisées devient
prépondérant et le courant augmente avec le degré de cristallisation. Lorsque le film
devient complètement cristallisé (110 nm, ici), le courant devient quasi-ohmique et
sature. Ces résultats nous montrent que la microstructure influence directement les
propriétés diélectriques de SrTiO3 .
2
Densité de courant (J, A/cm )
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
220 nm
110 nm
77 nm
56 nm
53 nm
31 nm
11 nm
5 nm
0
1
2
3
4
Vg (V)
2
Densité de courant à 1 V (J, A/cm )
Figure 5. 6 Densité de courant en fonction de l’épaisseur des films SrTiO3
10
-5
10
-6
10
-7
10
-8
0
50
100
150
200
250
Epaisseur de SrTiO 3 (nm)
Figure 5. 7 Evolution de la densité de courant en fonction de l’épaisseur des films SrTiO3
CHANG Youjean - Thèse
161
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
5.2.1-3 Influence de la concentration
Dans cette troisième série, le paramètre variable est la concentration de
précurseurs dans le solvant (c) de 0,0034 à 0,1 mol/l. La température de dépôt est
fixée à 700°C, le rapport Sr/Ti en solution à 1,3 et l’épaisseur de SrTiO3 est de 77
nm.
Les tendances observées lorsque la concentration augmente : 1) la vitesse de
croissance du film augmente 2) le film devient de plus en plus stœchiométrique 3)
la taille des grains diminue (Figure 5. 8 ) 4) la phase correspondant à la solution la
plus diluée (c=0,0034 mol/l) est complètement amorphe. Le CET et la constante
diélectrique (Figure 5. 10) sont extraites des caractéristiques C-V (Figure 5. 9 ). Sur
cette courbe, on note que les deux points de dilution extrêmes s’écartent des autres.
Pour la dilution la plus faible, le film est amorphe et la valeur de k est très faible.
Pour les dilutions intermédiaires (0,017 à 0,5 mol/l), les propriétés électriques des
films sont assez similaires avec une constante diélectrique qui varie entre 35 et 45.
Bien que l’état de cristallinité augmente avec la concentration, la part de zones
amorphes doit rester prépondérante et conditionne le comportement électrique. On
peut noter qu’une valeur de κ de 35 a été trouvée dans la série précédente pour une
épaisseur de 50-60 nm, ce qui correspond au minimum de courant de fuite (cf.
Figure 5. 6 ).
(a)
(b)
(c)
Figure 5. 8 Evolution des microstructures en fonction de la concentration des précurseurs
en solution (a) c=0,0085 M (Sr/Ti=0,56) (b) c=0,017 M (Sr/Ti=0,64) (c) c=0,1 M
(Sr/Ti=0,76). Le film c=0,0034 (Sr/Ti=0,4) est totalement amorphe. La taille des grains
augmente avec la concentration. (d’après [Auvray2002a])
CHANG Youjean - Thèse
162
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
5,0E-03
2
Capacité (F/cm )
10
9
8
11
12
13
4,5E-03
4,0E-03
3,5E-03
3,0E-03
2,5E-03
2,0E-03
1,5E-03
1,0E-03
5,0E-04
0,0E+00
-3
-2
-1
0
1
2
3
Vg (V)
Figure 5. 9 Caractéristiques C-V en fonction de la concentration des films SrTiO 3 (10 :
0,0034 M, 9 : 0,0085 M, 8 : 0,017 M, 11 : 0,034 M, 12 :0,05 M, 13 : 0,1 M)
Figure 5. 10 CET et constante diélectrique (κ) de SrTiO 3 (pour le calcul de κ, la couche de
SiO 2 a été soustraite)
Les caractéristiques I-V données Figure 5. 11, présentent peu de variations
avec la dilution. En ce qui concerne la dilution la plus élevée, l’image TEM montre
un film largement cristallisé auquel est associée une constante diélectrique plus
forte. L’absence d’influence de la densité élevée des joints de grain pourrait être
liée à leur orientation (Figure 5. 11). En effet, contrairement aux autres couches
cristallisées, le spectre XRD de ce film montre une orientation préférentielle des
grains selon la direction (111) (Figure 5. 12).
CHANG Youjean - Thèse
163
2
Densité de courant (J, A/cm )
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
0,0034 M
0,0085 M
0,017 M
0,034 M
0,05 M
0,1 M
0
1
2
3
4
Vg (V)
Figure 5. 11 Evolution de la densité de courant en fonction de la concentration des
précurseurs en solution. L’épaisseur du film est ~77 nm.
Figure 5. 12 Evolution des spectres XRD en fonction de la concentration des précurseurs
en solution avec une température de croissance à 700°C et le rapport Sr/Ti en solution de
1,3. L’orientation préférentielle de SrTiO 3 (100) est particulièrement observée dans ces
conditions. (d’après [Auvray2002a])
5.2.1-4 Influence de la composition du film –Etude des couches minces
L’influence de la composition du film (rapport Sr/Ti) a été étudiée en faisant
varier le rapport Sr/Ti en solution des précurseurs. Une variation linéaire existe
entre la composition des films et le rapport Sr/Ti en solution. La stœchiométrie des
films influence de façon significative leur état de cristallinité comme illustré par les
spectres XRD de la Figure 5. 13. Le maximum de cristallinité est obtenu pour une
composition de film Sr/Ti ~1. L’orientation préférentielle de ces films est dans ce
cas (200).
CHANG Youjean - Thèse
164
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
Figure 5. 13 Evolution des spectres XRD en fonction de la composition des précurseurs en
solution (Sr/Ti) avec une température de croissance à 700°C et une concentration des
précurseurs de 0,017 mol/l. L’orientation préférentielle de SrTiO 3 est (200). (d’après
[Auvray2002a])
La corrélation entre la stœchiométrie et la cristallinité est confirmée pour des
films minces de 15-16 nm par les images TEM (Figure 5. 14). Le film riche en
titane (Sr/Ti=0,64) montre une phase amorphe alors que le film stœchiométrique est
bien cristallisé, ne présentant qu’une zone amorphe réduite autour des joints de
grain. On peut noter également que dans des conditions de bonne stœchiométrie, un
film de 5 nm d’épaisseur reste amorphe.
(a)
(b)
Figure 5. 14 Images TEM en vue plane pour différentes compositions Sr/Ti d’un film de 15
nm d’épaisseur (a) Sr/Ti=0,95 (b) Sr/Ti=0,64 (d’après [Auvray2002a])
CHANG Youjean - Thèse
165
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
2,5x10
-6
2,0x10
-6
1,5x10
-6
1,0x10
-6
5,0x10
-7
Sr/Ti=0,95
Sr/Ti=0,61
2
Capacité (F/cm )
L’effet sur la capacité (Figure 5. 15) et sur le CET est particulièrement
intéressante sur ces films : Le film de 15 nm enrichi en Ti (Figure 5. 14 (b)) montre
une valeur de CET élevée (~6,8 nm), associée à une constante diélectrique très
faible de la phase amorphe. Pour le film stœchiométrique (Figure 5. 14 (a)), le CET
atteint une valeur tout à fait remarquable de 1,4-1,6 nm (couche interfaciale de SiO2
comprise) pour un film de 15 nm, ce qui conduit à une valeur de constante
diélectrique voisine de 40. Malheureusement, la conséquence sur le courant de fuite
est tout aussi significative (Figure 5. 16). Toutefois la valeur de 10-2 -10-1 A/cm2 sous
1 volt pour un EOT de 1,5 nm constitue néanmoins un gain d’un facteur 10 à 100
par rapport à SiO2 [Taur1997]. Cette valeur est également en accord avec les
résultats d’Eisenbeiser et al. [Eisenbeiser2000].
0,0
-2
-1
0
1
2
3
Vg (V)
2
Densité de courant (J, A/cm )
Figure 5. 15 Influence de la composition des films SrTiO 3 ~15 nm sur caractéristiques C-V
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
Sr/Ti=0,95
Sr/Ti=0,61
-8
10
-9
10
-10
10
0
1
2
3
Vg (V)
Figure 5. 16 Influence de la composition des films SrTiO 3 ~15 nm sur densité de courant
CHANG Youjean - Thèse
166
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
Cette confrontation des résultats physico-chimiques et électriques nous
montre que les propriétés diélectriques sont directement liées à la structure et la
stœchiométrie du film.
5.2.2
Effets de recuit
Certains films de 15 et 70 nm d’épaisseur et de composition Sr/Ti~1 ont subi
un recuit sous différentes conditions : 1) sous N2 /H2 (forming gaz, 5% de H2 ) ou 2)
H2 pur à 450°C pendant 30 minutes.
2
Densité de courant (J, A/cm )
L’effet de ces recuits sur les caractéristiques I-V et C-V est présenté Figure 5.
17 . et Figure 5. 18 . Le recuit basse température sous H 2 améliore légèrement le
courant de grille en accumulation. Cet effet s’accompagne également d’une
diminution de CET, et donc d’un gain sur la constante diélectrique (Figure 5. 19).
Le recuit sous H2 pur semble plus efficace que le recuit classique sous forming gas
dans ces conditions.
10
2
10
1
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
Référence
N2/H2
H2
-4
-2
0
2
4
Tension de grille (Vg, V)
Figure 5. 17 Influence de recuits basse température sur les caractéristiques I-V pour des
échantillons SrTiO 3 de ~15 nm
CHANG Youjean - Thèse
167
Capacité (F)
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
2,0x10
-10
1,8x10
-10
1,6x10
-10
1,4x10
-10
1,2x10
-10
1,0x10
-10
8,0x10
-11
6,0x10
-11
4,0x10
-11
2,0x10
-11
0,0
Référence
N2/H2
H2
-1
0
1
2
3
Tension de grille (Vg, V)
Figure 5. 18 Influence de recuits basse température sur les caractéristiques C-V à 10 kHz
pour des échantillons SrTiO 3 de ~15 nm
2,0
44
CET (nm)
42
1,0
40
0,5
Constante diélectrique (ε)
1,5
38
0,0
Ref
N2/H2
H2
Figure 5. 19 CET et la constante diélectrique (κ) pour des échantillons SrTiO 3 de ~15 nm
Finalement, nous avons regardé l’évolution de la densité d’états d’interface
sous l’effet des recuits pour des films de 70 nm d’épaisseur (Figure 5. 20). La
couche de référence montre une densité d’états d‘interface centrée à 0,3 eV en
dessous de la bande de conduction, et d’amplitude 4,5×1011 /eVcm2 . Comme attendu,
les recuits sous H2 et N2 /H2 conduisent à une diminution de la densité d’états
d’interface, d’environ un facteur 2-2,5 (Dit ~ 1,5-2x10 11 eV-1 cm-2 ). Un niveau
analogue a été rapporté par Jeon et al. sur des échantillons déposés par MBE et
recuits sous forming gas à 450°C pendant 30 minutes [Jeon2002].
CHANG Youjean - Thèse
168
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
11
5x10
11
H2
-1
-2
Dit (eV cm )
4x10
Référence
N2/H2
11
3x10
11
2x10
11
1x10
0
0,0
0,1
0,2
0,3
0,4
0,5
Energie (eV)
Figure 5. 20 Influence de recuit sur la densité d’états d’interface pour des échantillons
SrTiO 3 de ~75 nm
5.3
Etude avec un nouveau précurseur bimétallique Sr 2 Ti 2 (thd) 4 Ti
Le précurseur bimétallique Sr2 Ti2 (thd)4 Ti est un nouveau précurseur, non
commercialisé [Hubert-Pfalzgraff2003], [Hubert-Pfalzgraff1997]. Dans ce cas,
les deux espèces métalliques Sr et Ti étant amenés par un même précurseur, un
meilleur contrôle de la stœchiométrie et de l’homogénéité du matériau est attendu.
Les études liées à l’utilisation de ce précurseur bimétallique pour la croissance par
MOCVD à injection ont été menées par Sandrine Lhostis et al. au LMGP
[Lhostis2003a]. Plusieurs avantages importants du précurseur bimétallique par
rapport aux précurseurs standard ont été observés :
La vitesse de croissance, la composition et l’indice de réfraction sont stables
sur une gamme plus large de température de dépôt (550-700°C). Par ailleurs
l’utilisation de l’octane comme solvant a donné une meilleure stabilité
stœchiométrique avec une variation de Sr/(Sr+Ti) de l’ordre de 1% entre 550700°C.
En optimisant la température de dépôt (700°C) et la pression (basse : 2 Torr),
une orientation préférentielle [001] parallèle à (001) Si a été obtenue.
SrTiO3 sans contamination de carbone a été observé pour les plus faibles
températures de dépôt.
Nous allons regarder maintenant comment se traduisent ces conclusions
déduites de l’étude d’élaboration sur les propriétés électriques des films. Dans la
CHANG Youjean - Thèse
169
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
partie précédente avec les précurseurs mélangés standard Sr(thd)2 triglyme +
Ti(OiPr)2 (thd)2 , nous avons mis en évidence l’influence de la microstructure du film
sur le comportement électrique. En particulier, l’étude en fonction de l’épaisseur du
film montre que les faibles épaisseurs de dépôt (< 6 nm) sont amorphes (cf.
§5.2.1.3 ), cela en raison de la difficulté de nucléation du SrTiO3 sur une surface de
SiO2 . Les deux axes d’études abordés dans cette partie concernerons plus
spécifiquement : 1) une étude en fonction de l’épaisseur du film 2) une étude en
fonction de la préparation de surface.
5.3.1
Etude en fonction de l’épaisseur du film de SrTiO3 déposé
5.3.1-1 Propriétés morphologiques
Avant d’étudier les caractéristiques électriques de ces films, nous présentons
quelques résultats morphologiques obtenus par HRTEM et AFM au LMGP. Le
SrTiO3 est déposé soit directement sur un substrat de Si, soit sur une couche de 12
Å de SiO2 chimique. La concentration est fixée à 0,02 mol/l (concentration résultant
d’un compromis entre le rendement et la stœchiométrie), la température de dépôt est
de 700°C. L’épaisseur visée de SrTiO3 varie entre 5 nm et 228 nm.
5.3.1-1-1
HRTEM
La structure de SrTiO3 déposée à 700°C sous 2 torr observée par HRTEM est
présentée à la Figure 5. 21. L’image HRTEM montre que la structure possède deux
couches en dessous du SrTiO3 poly-cristallin : une couche amorphe de transition de
45 Å et une couche interfaciale de SiO2 de 24 Å. Ce résultat nous montre que la
formation d’une fine couche cristalline de SrTiO3 sur une surface de SiO2 est
difficile.
Figure 5. 21 Image HRTEM d’une couche de SrTiO 3 ~70 nm déposée à 700°C (d’après
[Lhostis2003a])
CHANG Youjean - Thèse
170
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
5.3.1-1-2
AFM
Une étude de la morphologie des couches par AFM en fonction de l’épaisseur
du film (Figure 5. 22) montre que la rugosité augmente continûment avec
l’épaisseur des couches de SrTiO3 . Pour les films de 4 et 8 nm d’épaisseur, la
structure est amorphe.
(a)
(b)
(c)
(d)
10
Rugosité (RMS, nm)
9
8
7
6
5
4
3
2
1
0
0
20
40
60
80
100
120
140
160
180
200
220
Epaisseur visée de couche SrTiO3 (nm)
(f)
Figure 5. 22 Images AFM pour les échantillons à différentes épaisseurs (a) 30 nm) (b) 50
nm (c) 70 nm (d) 200 nm et (f) la rugosité (RMS, nm) en fonction de l’épaisseur de la
couche de SrTiO 3 . (d’après [Lhostis2003b])
CHANG Youjean - Thèse
171
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
5.3.1-1-3
XRD
Les spectres XRD nous montrent un changement de l’orientation dominante
de (200) à (110) en fonction de l’épaisseur des couches de SrTiO3 (Figure 5. 23),
c’est à dire la transition d’une structure cristalline vers une structure poly-cristalline
autour de 150 nm.
200nm - SL344
150nm - SL355
100nm - SL345
70nm - SL330
50nm - SL346
30nm - SL347
SrTiO3(110)
200
Intensity (cps)
150
100
50
0
32
32,2
32,4
32,6
2 Theta
32,8
33
(a)
200nm - SL344
150nm - SL355
100nm - SL345
70nm - SL330
50nm - SL346
30nm - SL347
SrTiO3(200)
500
Intensity (cps)
400
300
200
100
0
45,5
46
46,5
2 Theta
47
47,5
(b)
Figure 5. 23 Spectres XRD : évolution de (a) raie (110) et (b) raie (200) en fonction de
l’épaisseur du film de SrTiO3 (d’après [Lhostis2003b])
CHANG Youjean - Thèse
172
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
5.3.1-2 Propriétés électriques
Nous avons mesuré les caractéristiques I-V à température ambiante pour des
échantillons de différentes épaisseurs (Figure 5. 24). Comme dans la partie
précédente pour les précurseurs standards (cf. Figure 5. 7 ), on note que le courant
passe par un minimum pour une épaisseur de films de l’ordre de 30 nm à 50 nm.
Cette tendance se vérifie d’ailleurs sur une large gamme de températures ( Figure 5.
25 ). Pour les faibles épaisseurs le courant se comporte essentiellement comme un
amorphe. Puis, plus l’épaisseur augmente, plus la phase poly-cristalline (raie (110)
du spectre XRD) devient prépondérante par rapport à la phase monocristalline (raie
(200)) (cf. Figure 5. 23), ce qui explique l’augmentation du courant pour les plus
fortes épaisseurs de films.
2
Densité de courant (J, A/cm )
10
0
4 nm
-1
10
-2
10
-3
10
8 nm
200 nm
-4
10
70 nm
-5
10
-6
10
30 nm
-7
10
4 nm
8 nm
30 nm
50 nm
70 nm
200 nm
50 nm
-8
10
-9
10
-10
10
0
1
2
3
Tension de grille (Vg, -V)
2
Densité de courant (J, A/cm )
Figure 5. 24 Densité de courant pour différente épaisseur de SrTiO 3 de 4 nm à 200 nm
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
Température
80K
100K
150K
200K
300K
350K
400K
450K
-10
0
50
100
150
200
Epaisseur de couche SrTiO3 (nm)
Figure 5. 25 Densité de courant à -1 V en fonction de l’épaisseur de couche SrTiO 3 à
différente température.
CHANG Youjean - Thèse
173
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
2
Densité de courant (J, A/cm )
Les caractéristiques I-V en accumulation ont été effectuées à différente
température (Figure 5. 26). Un mécanisme de conduction de type thermoïonique (cf.
2
1/2
Annexe A ) est identifié à partir d’un tracé ln(J/T )=f(E ) ( Figure 5. 27 ). Du
coefficient β, on déduit une constante diélectrique pour la couche de 40, et une
hauteur de barrière de 0,26 eV (φ0 ). Cette valeur semble en bon accord avec les
travaux de Jeon et al. pour des couches de 15 nm de SrTiO3 déposées par MBE
[Jeon2002].
10
-1
10
-2
10
-3
10
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
80K
100K
150K
200K
250K
300K
350K
400K
450K
450K
80K
-10
0
1
2
3
4
Tension de grille (Vg, -V)
Figure 5. 26 Densité de courant mesurée de 80K à 450K pour un échantillon de 30 nm
SrTiO 3 . Les caractéristiques en température en fonction de l’épaisseur de SrTiO 3 (4-200
nm) sont reportées dans l’article de J. Legrand [Legrand2003].
1,0E-02
tem pérature
450K
2 2
ln(J/T ) (A/cm K )
1,0E-03
2
1,0E-04
1,0E-05
80K
1,0E-06
9,8E+02
1,0E+03
1,1E+03
E
1/2
1,1E+03
80K
100K
150K
200K
250K
300K
350K
400K
450K
1,2E+03
(V/cm )
Figure 5. 27 Tracé ln(J/T 2 ) vs E 1/2 mettant en évidence une conduction thermoïonique
(émission Schottky) des caractéristiques de la Figure 5. 26
CHANG Youjean - Thèse
174
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
A partir des caractéristiques C-V, nous avons calculé le CET en fonction de
l’épaisseur de SrTiO3 déposée. En nous basant sur la coupe TEM (Figure 5. 21) qui
montre une double couche interfaciale composée de 2,4 nm de SiO2 et de 4,5 nm de
couche amorphe, on peut en déduire une valeur approximative de la constante
diélectrique de ces couches interfaciales de l’ordre de ~4. La nature de la couche
amorphe n’a pas été identifiée mais on peut suggérer qu’elle est constituée de SrO
dont la constante diélectrique est un peu plus élevée que celle de SiO2 .
11
CET (nm)
10
9
8
7
6
0
20
40
60
80
Epaisseur visée de couche SrTiO3 (nm)
Figure 5. 28 Epaisseur équivalente d’oxyde en fonction de l’épaisseur visée de SrTiO 3 . Les
valeurs ont été extraites de la capacité maximum en accumulation à 100 Hz.
La constante diélectrique a été calculée directement à partir de la valeur de
Cox pour chaque structure, soit en retranchant uniquement la contribution de la
couche de SiO2 à l’interface, on obtient alors la constante diélectrique du film de
SrTiO3 incluant la couche amorphe, soit en retranchant la contribution de la couche
amorphe de façon à se rapprocher de la constante diélectrique du seul film de
SrTiO3 . Compte tenu des incertitudes liées aux différents paramètres, ceci donne
une fourchette dans l’évaluation de la valeur de κ pour chaque épaisseur (Figure 5.
29 ). De même que dans l’étude précédente, on note une augmentation continue de κ
en fonction de l’épaisseur. Cette valeur pourrait saturer au delà d’une certaine
épaisseur, de l’ordre de 300 nm comme l’ont observé Krupanidhi
[Krupanidhi1997] et Joshi [Joshi1993].
CHANG Youjean - Thèse
175
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
Constante diélectrique (κ)
140
120
100
80
60
40
20
1
10
100
Epaisseur visée de couche SrTiO3 (nm)
Figure 5. 29 Constante diélectrique en fonction d’épaisseur de couche SrTiO 3 . Les cercles
sont les valeurs déduites de la couche interfaciale (6,9 nm) de Figure 5. 28 et les carrés
représentent
les
valeurs
déduites
de
2,4
nm
SiO 2
mesuré
par
TEM
(d’après
[Legrand2003])
La Figure 5. 30 présente la densité d’état d’interface en fonction de
l’épaisseur du film déposé. On remarque que l’échantillon le plus épais possède la
densité d’états d’interface la plus élevée. La distribution de densité d’états présente
un minimum pour une épaisseur de film de 30 nm, qui correspond à un Dit max de ~8
x 1010 eV-1 cm-2 . En tenant compte que l’échantillon n’a subi aucun recuit après
dépôt, ce niveau de Dit est assez faible par rapport aux valeurs rapportées sur les
autres high-κ (cf. chapitre 1 Tableau 1. 5), ceci peut être en partie expliqué par la
présence de la couche interfaciale SiO2 .
11
8x10
4 nm
8 nm
30 nm
50 nm
70 nm
200 nm
11
7x10
11
11
5x10
-1
-2
Dit (eV cm )
6x10
11
4x10
11
3x10
11
2x10
11
1x10
0
-0,5
-0,4
-0,3
-0,2
-0,1
0,0
Energie (eV)
Figure 5. 30 Densité d’états d’interface pour les échantillons en différente épaisseur
CHANG Youjean - Thèse
176
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
5.3.2
Etude en fonction de la préparation de surface
Après les études en épaisseur, nous avons exploité une seconde série
d’échantillons centrée sur les effets de préparation de surface avant dépôt. Les
échantillons sont préparés selon quatre types de surface : 1) substrat oxydé (1,2 nm
SiO2 RCA chimique) 2) substrat nitruré (Si3 N4 ) 3) substrat HF-last 4) substrat HFlast recuit sous H2 à 800°C avant dépôt de SrTiO 3 . Les précurseurs sont toujours
bimétalliques Sr2 Ti2 (thd)4 Ti.
5.3.2-1 Propriétés physico-chimiques
Les spectres XRD ne montrent pas de différence structurale ( Figure 5. 31)
entre un substrat HF-last et un substrat oxydé par 12 Å de SiO2 chimique. Les pics
préférentiels de SrTiO3 (110) et (200) [Thomas1997], [Zanetti1997], [Lee1997]
sont identiques pour les deux types de préparation.
Différentes surfaces
600
SrTiO3 (200)
SiO2-12A° _ SL352
HF/H2 _ SL398
500
400
300
200
SrTiO3 (100)
100
Si(200)
SrTiO3 (110)
0
20
25
30
35
40
45
50
55
60
65
Figure 5. 31 Spectres XRD du film SrTiO 3 déposé sur le HF-last Si et SiO 2 (d’après
[Lhostis2003b])
Les couches interfaciales sur les quatre substrats ont été analysées par TEM et
résumées dans le Tableau 5. 1. Le substrat SiO2 chimique montre l’épaisseur de
couche interfaciale la plus élevée. Pour le substrat nitruré, la couche de Si3 N4 n’est
pas observée et la surface est rugueuse contrairement au substrat SiO2 . Concernant
les substrats HF-last, les deux épaisseurs SiO2 et couche amorphe sont réduites par
rapport à SiO2, mais demeurent non négligeables. Sur substrat HF last recuit H2 , la
couche amorphe n’est plus tout à fait amorphe mais semble en partie cristallisée.
CHANG Youjean - Thèse
177
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
Substrat
SiO 2 (Å)
Couche
amorphe
Remarques
(Å)
1,2 nm SiO 2
28
44
STO bien cristallisé
25
28
Interface SiO 2 rugueuse. STO
chimique
Si 3 N 4
bien cristallisé
HF-last
17
23
Couche amorphe corruguée
HF-last+recuit
20
33
Pas amorphe (plutôt cristallisé).
STO bien cristallisé
sous H 2
Tableau 5. 1 Epaisseur de couches interfaciales analysées par TEM en fonction de
préparation du substrat (d’après [Lhostis2003c])
5.3.2-2 Propriétés électriques
Les caractéristiques C-V sont comparées en fonction du type de substrats
(Figure 5. 32). Pour les courbes sur substrat HF-last, on note une très faible
concentration de charges dans l’oxyde. Celles-ci augmentent significativement pour
les substrats oxydés (charges positives) et surtout nitrurés. Un fort effet de
stretching est observé sur toutes les courbes, probablement lié à la présence de la
couche amorphe à l’interface.
Capacité (F)
3,0x10
1,2 nm SiO2 chimque
-11
Si3N4
HF-last
HF-last+recuit H2
2,0x10
-11
1,0x10
-11
0,0
-3
-2
-1
0
1
2
3
Tension de grille (Vg, V)
Figure 5. 32 Influence des préparations de surface sur les caractéristiques C-V pour des
échantillons de 70 nm de SrTiO 3
Les CETs et la constante diélectrique sont résumés dans le Tableau 5. 2. Si
l’on compare les échantillons de même épaisseur de SrTiO3 (70 nm), on peut noter
l’effet bénéfique sur les valeurs de CET et de κ pour une préparation HF-last par
CHANG Youjean - Thèse
178
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
rapport aux substrats SiO2 chimique et Si3 N4. Le recuit sous H2 a peu d’impact par
rapport au substrat HF-last seul. La valeur maximale de κSrTiO3 atteint 39,4 pour un
substrat HF-last.
Substrat
STO (nm)
CET (nm)
κ effective
CET high-k
(CI+STO)
(CET-SiO 2 )
κ STO
(nm)
1,2 nm SiO 2
70
11,2
24,4
8,4
32,6
70
11,3
24,1
8,8
30,9
chimique
Si 3 N 4
HF-last
30
7,3
15,9
70
8,6
31,4
HF-last+recuit
30
7,1
16
sous H 2
70
9,3
29,3
140
10,2
53
6,9
39,4
7,3
37,4
Tableau 5. 2 CET et la constante diélectrique des échantillons déposés sur différents
substrats avec les valeurs de SiO 2 dans Tableau 5. 1.
La Figure 5. 33 présente un profil de densité d’états d’interface centré à 0,35~-0,42 eV du niveau d’énergie intrinsèque (Ei) pour les substrats HF-last et
SiO2 chimique. La densité maximale se situe autour de ~0,9-1,2x1012 /eVcm2 . Le
substrat SiO2 chimique montre un niveau de Dit à peu près aussi élevé que les
substrats HF-last.
SL352-SiO2A
SL400-HF last
SL396-HF last+H2
HF-last
1,4E+12
1,2E+12
Dit
1,0E+12
8,0E+11
HF-last+H2
6,0E+11
4,0E+11
2,0E+11
0,0E+00
-0,6
12 A SiO2
-0,5
-0,4
-0,3
-0,2
-0,1
0
E-Ei
Figure 5. 33 Profil de densité d’états d’interface (D it ) en énergie pour des échantillons de
70 nm SrTiO 3
CHANG Youjean - Thèse
179
Chapitre V – SrTiO 3 diélectrique à très haute permittivité
5.4
Conclusions
Les études physico-chimiques et électriques de couche SrTiO3 déposé par
MOCVD à injection ont été réalisées en utilisant deux précurseurs différents :
précurseurs mélangés standards Sr(thd) 2 +Ti(OiPr)2 (thd)2 et précurseur bimétallique
Sr2 Ti2 (thd)4 Ti.
Les paramètres de dépôt (température de dépôt, concentration des précurseurs
en solution, épaisseur de SrTiO3 , composition de Sr/Ti) sont fortement liés aux
propriétés diélectriques du film. Des films possédant une mauvaise stœchiométrie
(Sr/Ti ≠ ~1) montrent une microstructure non cristallisée qui conduit à une
augmentation de CET (c'est-à-dire une chute de la constante diélectrique de
SrTiO3 ). La formation de SrTiO3 cristallin est difficile sur une couche SiO2
chimique et donne une couche de transition amorphe sous jacente au SrTiO3
cristallin. La cristallinité de film évolue en fonction de l’épaisseur et induit des
modifications significatives des propriétés électriques.
Des résultats diélectriques prometteurs ont été obtenus. Malgré la
discontinuité de bande de conduction très faible du SrTiO3, un gain en courant
(d’un facteur de deux ordres) a été observé avec les précurseurs standards par
rapport à SiO2 de même CET (1,4-1,6 nm). Le recuit H2 pur à 450°C pendant 30
minutes après dépôt améliore les propriétés électriques du film. Nous avons obtenu
un CET de 1,4-1,6 nm et une valeur de κ~30.
Pour le précurseur bimétallique, la densité d’états d’interface peut être réduite
jusqu’à ~1x10 11 eV-1 cm-2 avant traitement thermique. Les effets de la préparation
de surface sont faibles; les substrats traités HF-last conduisent à une augmentation
de la constante diélectrique (κ) par rapport au substrat oxydé et nitruré.
CHANG Youjean - Thèse
180
Conclusion générale
CHANG Youjean - Thèse
Conclusion générale
Conclusion générale
Aujourd’hui la miniaturisation agressive des dispositifs microélectroniques
nécessite de disposer à brève échéance, un isolant alternatif de grille à constante
diélectrique plus élevé que SiO2 : matériau à forte permittivité ("high-κ"). Son
intégration dans le MOSFET requiert l’étude de ses propriétés électriques ainsi que
de la compatibilité technologie. Parmi les matériaux candidats les plus prometteurs,
Al2 O3 ("modeste–κ"), HfO2 ("high-κ") et SrTiO3 ("very high-κ"), représentent des
solutions potentielles à respectivement court, moyen et long terme.
Dans cette thèse nous avons étudié les caractérisations de ces trois matériaux
en vue de leur intégration comme diélectrique de grille dans les technologies CMOS
ultimes. Ces diélectriques en film mince ont été élaborés par des techniques de
dépôt de type industriel, innovantes ; les dépôts chimiques en phase vapeur par
couche atomique (ALD) pour Al2 O3 et HfO2 ou aux organo-métalliques (MOCVD)
à injection pour SrTiO3 . Les analyses structurales et physico-chimiques ont été
confrontées aux caractérisations électriques, ce qui nous a permis de rassembler un
grand nombre de résultats sur chaque diélectrique.
Résultats acquis
Nous avons observé la bonne stabilité thermique d’Al2 O3 , qui conserve un
caractère amorphe pour des températures de recuits supérieures à 800°C. Une
couche de transition, principalement constituée de SiO2 ou (et) de silicate, est
observée à l'interface avec le substrat de Si. Celle-ci se forme pendant le dépôt et
les traitements thermiques ultérieurs et dépend fortement de la préparation du
substrat. La nature du substrat avant le dépôt influence directement les propriétés
d’Al 2 O3 . Les films déposés sur HF-last montrent une interface perturbée et en
conséquence les propriétés électriques sont plus dégradées que sur substrats oxydés.
En particulier, des résultats encourageants sont obtenus avec des films qui ont subi
un recuit rapide de type « spike » à une température compatible avec l’activation de
dopants sans entraîner de modifications importantes de l’interface. Un recuit
supplémentaire sous forming gaz n’est pas forcément efficace pour diminuer la
densité d’états d’interface par rapport à N2 , c'est-à-dire que la plupart des liaisons
pendantes ont été guéries après le premier recuit. Une conduction de type FowlerNordheim était dominante sur les films minces après recuit à haute température.
A l’interface HfO2 /Si, une couche de SiOx est présente en dessous d’une
monocouche de silicate d’Hf riche en Si. La couche de silicate d’Hf se forme
CHANG Youjean - Thèse
182
Conclusion générale
pendant le dépôt d’HfO2 par réaction entre HfCl4 et SiO2 . Après recuit une recroissance de SiO2 est observée. Cependant la couche interfaciale reste stable sans
augmentation significative d’épaisseur. Un mécanisme de conduction de type PooleFrenkel est observé dès la température ambiante jusqu’à 450K pour des films
d’HfO2 de 5 nm, recuit ou non recuit.
Concernant SrTiO3 nous avons étudié les effets des paramètres de dépôt sur
les propriété électriques en comparant deux précurseurs différents : précurseurs
mélangés classiques et nouveau précurseur bimétallique qui permet un meilleur
contrôle de la stœchiométrie. Comme dans le cas d’Al2 O3 et HfO2 , le film de SrTiO3
présente une couche de SiO2 et une couche amorphe sous jacent de SrTiO3 polycristallin. Les propriétés du film de SrTiO3 dépendent des paramètres de dépôt. En
particulier, la stœchiométrie du film reliée à la microstructure influence fortement
les propriétés diélectriques de SrTiO3 . La cristallinité du film évolue en fonction de
l’épaisseur et induit des modifications significatives des propriétés électriques. Des
résultats électriques prometteurs sont obtenus. Malgré la très faible discontinuité de
la bande de conduction de SrTiO3 , un gain en courant d’un facteur 100 a été obtenu
par rapport à SiO2 à même CET (1,4-1,6 nm). Un recuit H2 pur à 450°C pendant 30
minutes améliore les propriétés électriques du film. Sans avoir subi aucun
traitement post-dépôt, la densité d’états d’interface est inférieure à 1x1011 eV-1 cm-2 .
Les substrats traités HF-last conduisent à une augmentation de la constante
diélectrique (κ) par rapport au substrat oxydé et nitruré.
Enfin nous pouvons conclure sur quelques tendances communes aux trois
matériaux. Une couche interfaciale (SiOx ou silicate, ou un mélange des deux) se
forme pendant le dépôt du diélectrique. Cette couche interfaciale augmente plus ou
moins avec le recuit, selon la préparation du substrat avant dépôt et des traitements
thermiques post dépôt. HfO2 cristallise à plus faible température qu’Al 2 O3 .
Cependant pour les films minces d’Al 2 O3 et HfO2 (< 5 nm) la cristallisation de la
couche ne dégrade pas les propriétés électriques et contribueraient même plutôt à
les améliorer. Pour les trois matériaux, un recuit améliore les propriétés électriques.
Pourtant la densité d’états d’interface ne peut pas être autant réduite que celle de
SiO2 (~1x1010 eV-1 cm-2 pour SiO2 ).
Perspectives de ce travail
A partir des résultats obtenus, plusieurs perspectives de ce travail peuvent être
envisagées :
•
Le contrôle et la minimisation de la couche interfaciale : bien que préserver
une fine couche de SiO2 /Si à l’interface puisse présenter une option pour
CHANG Youjean - Thèse
183
Conclusion générale
garantir une bonne qualité de l’interface, la présence de cette couche
interfaciale diminue le gain apportée des matériaux high-κ. Pour atteindre une
épaisseur équivalente d’oxyde inférieure à 1 nm voire 0,5 nm, il est impératif
de minimiser la couche interfaciale, ce qui signifie l’optimisation des
conditions de dépôt mais aussi des recuits. En parallèle, un compromis devra
être trouvé entre l’amélioration des propriétés électriques et l’augmentation
de CET après recuit.
•
L’étude des défauts et de l’effet de recuit : Pour optimiser les performances
de transistor, et plus particulièrement la mobilité et le contrôle de la tension
de seuil, il est important de réduire les défauts et charges dans l’oxyde.
D’après nos résultats et ceux de la littérature, un recuit post-dépôt diminue les
défauts dans l’oxyde. Pourtant, des efforts doivent être menés pour atteindre
le même niveau que SiO2 en maîtrisant les conditions d’élaboration et
d’intégration. L’étude de l’interface supérieure entre le diélectrique et
l’électrode de grille apparaît également déterminante.
•
L’optimisation des paramètres de dépôt par MOCVD à injection et la
caractérisation de films de SrTiO3 plus minces doivent être étudiées, afin de
maîtriser les couches sous jacentes (SiO2 et zone de transition en amorphe) au
film cristallin de SrTiO3 et tirer ainsi pleinement partie du potentiel que
représente la croissance d’oxydes cristallins sur silicium.
CHANG Youjean - Thèse
184
Références bibliographiques
Références bibliographiques
[Aarik2001]
Aarik, J., Aidla, A., Mandar, H., Uustare, T., Kukli, K. et Schuisky, M.,
Phase transformations in hafnium dioxide thin films orown by atomic layer
deposition at high temperatures, Appl. Surf. Sci., 173 (2001), pp. 15-21.
[Afanas'ev2002a]
Afanas'ev,
alignments
V.V.,
in
Houssa,
M.,
Stesmans,
metal-oxide-silicon
structures
A.
et
with
Heyns,
M.M.,
atomic-layer
Band
deposited
Al2O3 and ZrO2, J. Appl. Phys., 91 (2002), pp. 3079-3084.
[Afanas'ev2002b]
Afanas'ev, V.V., Stesmans, A., Mrstik, B.J. et Zhao, C., Impact of
annealing-induced
compaction
on
electronic
properties
of
atomic-layer-
deposited Al2O3, Appl. Phys. Lett., 81 (2002), pp. 1678-1680.
[Agius1990]
Agius, B., Froment, M., Abel, F., Beaunier, L., Blaise, G., Bosio, L.,
Hollinger, G., Huber, A., Hugot-Le Goff, A., Klein, J., Pertrosa, P. et
Porte, L., Surfaces interfaces et films minces, BORDAS, Paris, 1990.
[Alers1998]
Alers, G.B., Werder, D.J., Chabal, Y., Lu, H.C., Gusev, E.P., Garfunkel,
E., Gustafsson, T. et Urdahl, R.S., Intermixing at the tantalum oxide/silicon
interface in gate dielectric structures, Appl. Phys. Lett., 73 (1998), pp. 15171519.
[André2000]
André, B., Baume, F., Bonvalot, M., Burdin, M., Muyard, D., Rolland, G.,
Papon, A.-M., Leroux, C., Elbaz, A. et Martin, F., High-k HfO2 dielectric
deposited by reactive ion beam sputtering for sub 0,1 micron gate, 3rd
Symposium on SiO2 and Advanced Dielectrics, Fuveau (Aix-Marseille), France
2000.
[Asuha2002]
Asuha, Y., T., Maida, O. et Kobayashi, H., Effects of postmetallization
annealing on ultrathin SiO2 layer properties, Appl. Phys. Lett., 80 (2002), pp.
4175-4177.
[Auvray2002b]
[Auvray2002a]
Auvray, L., communication personnelle, 2002.
Auvray, L., séminaire au LMGP : Dépôt du diélectrique high-k SrTiO3 par
MOCVD à injection, 2002.
[Auvray2003c]
Auvray, L., Dubourdieu, C., Audier, M., Ducroquet, F., Sénateur, J.P.,
Chaudouët, P. et Lhostis, S., Microstructure and dielectric properties of SrTi-O thin films grown on SiO2/Si by liquid injection MOCVD, soumis à J.
Electrochemical Soc. (2003).
[Baek2002]
Baek, S., Heo, S. et Hwang, H., Characteristics of HfO2 pMOSFET with
ultrashallow
junction
prepared
by
plasma
doping
and
laser
annealing,
ESSEDERC, 2002.
[Balog1977]
Balog, M., Schieber, M., Michman, M. et Patai, S., Chemical vapor
deposition
and
characterization
of
HfO2
films
from
Organo-Hafnium
compounds, Thin Solid Films, 41 (1977), pp. 247-259.
[Bastos2002]
Bastos, K.P., Morais, J., Miotti, L., Pezzi, R.P., Soares, G.V., Baumvol,
I.J.R., Hegde, R.I., Tseng, H.H. et Tobin, P.J., Oxygen reaction -diffuion in
CHANG Youjean - Thèse
185
Références bibliographiques
metalorganic chemical vapor deposition HfO2 films annealed in O2, Appl.
Phys. Lett., 81 (2002), pp. 1669-1671.
[Besling2002]
Besling,
W.F.A.,
Young,
E.,
Conard,
T.,
Zhao,
C.,
Carter,
R.,
Vandervorst, W., Caymax, M., De Gendt, S, Heyns, M., Maes, J.,
Tuominen,
M.
et
Haukka, S.,
Characterisation
of
ALCVD
Al2O3-ZrO2
nanolaminates, link between electrical and structural properties, J. NonCrystalline Solids, 303 (2002), pp. 123-133.
[Buchanan1999]
Buchanan, D.A., Scaling the gate dielectric : Materials, integration, and
reliability, IBM J., RES. & DEV., 43 (1999), pp. 245-264.
[Buchanan2000]
Buchanan, D.A., Gusev, E.P., Cartier, E., Okorn-Schmidt, H., Rim, K.,
Gribelyuk, M.A., Mocuta, A., Ajmera, A., Copel, M., Guha, S., Bojarczuk,
N., Callegari, A., D'Emic, C., Kozlowski, P., Chan, K., Fleming, R.J.,
Jamison, P.C., Brown, J. et Arndt, R., 80 nm Si-polylicon gated n-FETs with
ultra-thin Al2O3 gate dielectric for ULSI applications, Tech. Dig. of the Inter.
Electron Device Meeting, San Francisco, CA, USA 2000.
[Buchanan2000]
Buchanan, D.A., Gusev, E.P., Cartier, E., Okorn-Schmidt, H., Rim, K.,
Gribelyuk, M.A., Mocuta, A., Ajmera, A., Copel, M., Guha, S., Bojarczuk,
N., Callegari, A., D'Emic, C., Kozlowski, P., Chan, K., Fleming, R.J.,
Jamison, P.C., Brown, J. et Arndt, R., 80 nm Si-polylicon gated n-FETs with
ultra-thin Al2O3 gate dielectric for ULSI applications, Tech. Dig. of the Inter.
Electron Device Meeting, San Francisco, CA, USA 2000.
[Busch2000]
Busch, B.W., Schulte, W.H., Garfunkel, E. et Gustafsson, T., Oxygen
exchange and transport in thin zirconia films on Si(100), Phys. Rev. B, 62
(2000), pp. R 13 290-293.
[Busch2001]
Busch, B.W., Kwo, J., Hong, M., Mannaerts, J.P., Sapjeta, B.J., Schulte,
W.H., Garfunkel, E. et Gustafsson, T., Interface reactions of high-k Y2O3
gate oxides with Si, Appl. Phys. Lett., 79 (2001), pp. 2447-2449.
[Callegari2001]
Callegari, A., Cartier, E., Gribelyuk, M., Okorn-Schmidt, H.F. et Zabel,
T., Physical and electrical characterization of hafnium oxide and hafnium
silicate sputtered films, J. Appl. Phys., 90 (2001), pp. 6466-6475.
[Campbell1997]
Campbell, S.A., Gilmer, D.C., Wang, X.-C., Hsieh, M.-T., Kim, H.-S.,
Gladfelter, W.L. et Yan, J., MOSFET Transistors Fabricated with High
Permitivity TiO2 Dielectrics, IEEE Trans. Electron Devices, 44 (1997), pp. 104109.
[Campbell2000]
Campbell, S.A., Smith, R., Hoilien, N., He, B. et Gladfelter, W.L., Group
IVB Metal Oxides: TiO2, ZrO2, and HfO2 as High Permittivity Gate Insulators,
Proc. MRS Workshop on high-k gate dielectrics, New Orleans, USA 2000.
[Campbell2001]
Campbell, S.A., Ma, T.Z., Smith, R., Gladfelter, W.L. et Chen, F., High
mobility HfO2 n- and p-channel transistors, Microelec. Eng., 59 (2001), pp.
361-365.
[Cantin2001]
Cantin, J.L.et von Bardeleben, H.J., An electron paramagnetic resonance
[Castagné1971]
Castagné, R. et Vapaille, A., Description of the SiO2-Si interface properties
study of the Si(100)Al2O3 interface defects, J. Noncrystalline Solid (2001).
by means of very low frequency MOS capacitance measurements, Surf. Sci.,
28 (1971), pp. 557.
CHANG Youjean - Thèse
186
Références bibliographiques
[Chambers2001a]
Chambers, J.J., Busch, B.W., Schulte, W.H., Gustafsson, T., Garfunkel,
E., Wang, S., Maher, D.M., Klein, T.M. et Parsons, G.N., Effects of surface
pretreatments on interface structure during formation of ultra-thin yttriun
silicate dielectric films on silicon, Appl. Surf. Sci., 181 (2001), pp. 78-93.
[Chambers2000]
Chambers, J.J .et Parsons, G.N., Yttrium silicate formation on silicon: Effect
of silicon preoxidation and nitridation on interface reaction kinetics, Appl.
Phys. Lett., 77 (2000), pp. 2385-2387.
[Chaneliere1998]
Chaneliere, C., Autran, J.L., Devine, R.A.B. et Balland, B., Tantalum
pentoxide thin films for advanced dielectric applications, Mater. Sci. Eng. R,
22 (1998), pp. 269-322.
[Chanelière1999]
Chanelière, C., Réalisation et analyse-caractérisation de dispositifs MOS à
diélectrique de grille en Ta2O5, INSA de Lyon, Villeurbanne, 1999.
[Chang2001b]
Chang, J.P. et Lin, Y.-S., Dielectric property and conduction mechanisme of
[Chang2001c]
Chang, J.P. et Lin, Y.-S., Thermal stability of stacked high-k dielectrics on
ultrathin zirconium oxide films, Appl. Phys. Lett., 79 (2001), pp. 3666-3668.
silicon, Appl. Phys. Lett., 79 (2001), pp. 3824-3826.
[Cheng1999]
Cheng, B., Cao, M., Voorde, P.V., Greene, W., Stork, H., Yu, Z. et Woo,
J.C.S.,
Design
Consideration
of
high-k
Gate
Dielectrics
for
Sub-0.1um
MOSFET's, IEEE Trans. Electron Devices, 46 (1999), pp. 261-262.
[Chin2000]
Chin, A., Wu, Y.H., Chen, S.B., Liao, C.C. et Chen, W.J., High quality
La2O3 and Al2O3 gate dielctrics with equivalent oxide thickness 5-10 A, Tech.
Dig. VLSI Symp., 2000.
[Cho2002d]
Cho, B.-O., Wang, J. et Chang, J.P., Metalorganic precursor decomposition
and oxidation mechasisms in plasma-enhanced ZrO2 deposition, J. Appl.
Phys., 92 (2002), pp. 4238-4244.
[Cho2002d]
Cho, H.-J., Kang, C.S., Onishi, K., Gopalan, S., Nieh, R., Choi, R.,
Krishnan, S., et Lee, J.C., Structural and electrical properties of HfO2 with
top nitrogen incorporated layer, IEEE Electron Device Lett., 23 (2002), pp.
249-251.
[Cho2002c]
Cho, M., Park, J., Park, H. B., Hwang, C.S., Jeong, J. et Hyun, K.S.,
Chemical interaction between atopic-layer-deposited HfO2 thin films and the
Si substrate, Appl. Phys. Lett., 81 (2002), pp. 334-336.
[Cho2002b]
Cho, M., Park, J., Park, H.B., Hwang, C.S., Jeong, J., Hyun, K.S., Kim,
Y.-W., Oh, C.-B. et Kang, H.-S., Thermal stability of atomic-layer-deposited
HfO2 thin films on the SiNx-passivated Si substrate, Appl. Phys. Lett., 81
(2002), pp. 3630-3632.
[Cho2002a]
Cho, M.-H., Roh, Y.S., Whang, C.N., Jeong, K., Choi, H.J., Nam, S.W.,
Ko, D.-H., Lee, J.H., Lee, N.I. et Fujihara, K., Dielectric characteristics of
Al2O3-HfO2 nanolaminates on Si (100), Appl. Phys. Lett., 81 (2002), pp.
1071-1073.
[Copel2000]
Copel, M., Gribelyuk, M. et Gusev, E., Structure and stability of ultrathin
[Copel2001a]
Copel, M., Cartier, E. et Ross. F.M., Formation of a stratified lanthanum
zirconium oxide layers on Si (001), Appl. Phys. Lett., 76 (2000), pp. 436-438.
silicate dielectric by reaction with Si(001), Appl. Phys. Lett., 78 (2001), pp.
1607-1609.
CHANG Youjean - Thèse
187
Références bibliographiques
[Copel2001b]
Copel, M., Cartier, E., Gusev, E.P., Guha, S., Bojarczuk, N. et Poppeller,
M., Robustness of ultrathin aluminum oxide dielectric on Si(001), Appl. Phys.
Lett., 78 (2001), pp. 2670-2672.
[Croci2001]
Croci, S.,
Caractérisation et modélisation des propriétés des couches de
SiO2. Application aux têtes de lecture-écriture pour disques durs et aux
mémoires EEPROM, INSA de Lyon, Villeurbanne, 2001.
[Damlencourt2002a]
Damlencourt, J.-F., communication personnelle, 2002.
[Damlencourt2002b]
Damlencourt, J.-F., Renault, O., Samour, D., Papon, A.-M., Garros,
X., Lerous, C., Martin, F., Marthon, S. et Séméria, M.-N., Electrical and
physico-chemical characterization of HfO2/SiO2 gate oxide stacks prepared by
atomic later deposition, Workshop on Dielectrics in Microelectronics, Grenoble
2002.
[Damlencourt2003] Damlencourt, J.-F., Caractérisation physico-chimique de couches de HfO2
déposées sur SiO2 par atomic layer deposition, 2003.
[Degraeve2002]
Degraeve, R., Cartier, E., Kauerauf, T., Carter, R., Pantisano, L.,
Kerber, A. et Groeseneken, G., On the electrical characterization of high-k
dielectrics, MRS bulletin, 27 (2002), pp. 222-225.
[Demkov1999]
Demkov, A.A. et Sankey, O.F., Growth study and theoretical investigation of
the ultrathin oxide SiO2-Si heterojunction, Phys. Rev. Lett., 83 (1999), pp.
2038-2041.
[Depas1995]
Depas, M., Vermeire, B., Mertens, P.W., Van Meirhaeghe, R.L. et Heyns,
M.M., Determination of tunnelling parameters in ultra-thin oxide layer Sipoly/SiO2/Si structures, Solid-State Electron., 38 (1995), pp. 1465-1471.
[Dillon1995]
Dillon, A.C., Ott, A.W., Way, J.D. et George, S.M., Surface chemistry of
Al2O3 deposition using Al(CH3)3 and H2O in a binary reaction sequence, Surf.
Sci., 322 (1995), pp. 230-242.
[Doyle2002]
Doyle, B., ARghavani, R., Barlage, D., Datta, S., Doczy, M., Kavalieros,
J., Murthy, A. et Chau, R., Transisor elements for 30 nm physical gate
lengths and beyond, Intel Technology Journal, 6 (2002), pp. 42-54.
[Dubourdieu2001]
Dubourdieu, C., Rosina, M., Roussel, H., Weiss, F., Sénateur, J.P. et
Hodeau, J.L., Pulsed liquid-injection metalorganic chemical vapor deposition
of (La0.7Sr0.3MnO3/SrTiO3)15 superlattices, Appl. Phys. Lett., 79 (2001), pp.
1246-1248.
[Dubourdieu2002]
Dubourdieu, C., Pantou, R., Weiss, F., Sénateur, J.P., Kobernik, G.,
Hassler, W., Dooryhée, E., Hodeau, J.L. et Nemoz, M., Structural and
dielectric properties of (BaTiO3/SrTiO3)15 superlattices grown by MOCVD,
Ferroelectrics, 268 (2002), pp. 137.
[Dubourdieu2003]
Dubourdieu, C., Sénateur, J.P. et Weiss, F., MOCVD of oxide films and
multilayers; Crystal growth in thin solid films, A paraître dans Transworld
Research Network (2003).
[Duval2001]
Duval, E., Caractérisation de structures MIS par spectroscopie d'admittance :
evaluation d comportement dynamique des defauts a l'interface isolantsemiconducteur, l'université de Rouen, 2001.
[Eisenbeiser2000]
Eisenbeiser,
K.,
Finder,
J.M.,
Yu,
Z.,
Ramdani,
J.,
Curless,
J.A.,
Hallmark, J.A., Droopad, R., Ooms, W.J., Salem, L., Bradshaw, S. et
CHANG Youjean - Thèse
188
Références bibliographiques
Overgaard, C.D., Field effect transistors with SrTiO3 gate dielectric on Si,
Appl. Phys. Lett., 76 (2000), pp. 1324-1326.
[Ericsson1997]
Ericsson,
P.,
Bengtsson,
S.
et
Skarp,
J.,
Properties
of
Al2O3-films
deposited on silicon by atomic layer epitaxy, Microelectron. Eng., 36 (1997),
pp. 91-94.
[Fair1996]
Fair, R.B., Oxide thickness effect on boron diffusion in thin oxide P+ Si gate
technology, IEEE Electron Device Lett., 17 (1996), pp. 242.
[Frank2001]
Frank, D., Dennard, R., Nowak, Solomon, P., Taur, Y. et Wong, H.-S.,
Device scaling limits of Si MOSFETs and their application dependencies, Proc.
IEEE, 2001.
[Fukushima1997]
Fukushima, N., Abe, K., Izuka, L., Schimizu, T. et Kawakubo, T.,
Epitaxial (Ba, Sr)TiO3 capacitors with extremly high dielectric constant for
DRAM applications, Tech. Dig. Int. Electron Devices Meet., Washington DC.,
USA 1997.
[Garros2002a]
Garros, X., Leroux, C. et Autran, J.L., An efficient model for accurate
capacitance-voltage
characterization
of
High-k
gate
dielectrics
using
a
mercury probe, Elecroch. and Solid-State Lett., Vol. 5 (2002).
[Garros2002b]
Garros, X., Leroux, C., Blin, D., Damlencourt, J.F., Papon, A.M. et
Reimbold, G., Investigation of HfO2 dielectric stacks deposited by ALD with
mercury probe, ESSDERC, 2002.
[Ghani2000]
Ghani, T., Mistry, K., Packan, P., Thompson, S., Stettler, M., Tyagi, S. et
Bohr, M., Scaling challenges and device design requirements for high
performance sub-50 nm gate length planar CMOS transistors, Tech. Dig. Int.
Electron Devices Meet., 2000.
[Ghibaudo1999]
Ghibaudo, G., Bruyère, S., Devoivre, T., DeSalvo, B. et Vincent, E.,
Improved method for the oxide thickness extraction in MOS structures with
ultra-thin gate dielectrics, Proc. IEEE 1999 Int. Conference on Microelectronic
Test Structures, 1999.
[Ghibaudo2000]
Ghibaudo, G., Clerc, R., Vincent, E., Bruyère, S. et Autran, J.L., Gate
dielectrics
for
ultimate
CMOS
technologies-limitations
and
alternative
solutions, C. R. Acad. Sci. Paris, t.1, Série IV (2000), pp. 911-927.
[Gilmer2002]
Gilmer, D.C., Hegde, R., Cotton, R., Garcia, R., Dhandapani, V., Triyoso,
D., Roan, D., Franke, A., Rai, R., Prabhu, L., Hobbs, C., Grant, J.M., La,
L., Samavedam; S., Taylor, B., Tseng, H. et Tobin, P., Compatibility of
polycrystalline
silicon
gate
deposition
with
HfO2
and
Al2O3/HfO2
gate
dielectrics, Appl. Phys. Lett., 81 (2002), pp. 1288-1290.
[Gopalan2002]
Gopalan, S., Onishi, K., Nieh, R., Kang, C.S., Choi, R., Cho, H.-J.,
Krishnan, S. et Lee, J.C., Electrical and physical characteristics of ultrathin
hafnium silicate films with polycrystalline silicon and TaN gates, Appl. Phys.
Lett., 80 (2002), pp. 4416-4418.
[Gosset2000]
Gosset, L.G., Caracterisations physico-chimiques et électriques de coucehs
[Gosset2001a]
Gosset, L.G., Damlencourt, J.-F., Renalut, O., Rouchon, D., Holligern
minces d'Al2O3 déposées par ALCVD, , CEA/LETI, 2000.
P.H., Ermolieff, A., Trimaille, I., Ganem, J.-J., Martin, F. et Séméria,
CHANG Youjean - Thèse
189
Références bibliographiques
M.N., Interface and material characterization of thin Al2O3 layers deposited
by ALD using TMA/H2O, J. Non-Crystal. Solids, 303 (2001), pp. 17-23.
[Gosset2001b]
Gosset, L.G., Ganem, J.-J., Renault, O., Holliger, Ph., Damlencourt, J.F., Rolland, G., Von Bardeleben, H.-J., Pierre, F., Jalabert, D., Chabli,
A., Trimaille, I., Cantin, J.L., Rigo, S., Ermolieff, A., Martin, F., Séméria,
M.-N., Physico-chemical and electrocal characterization of thin Al2O3 layers
deposited by atomic layer deposition, International Workshop on Device
Technology Proceedings, Porto Alegre, Brasil 2001.
[Gosset2002]
Gosset, L.G., Damlencourt, J.-F., Renault, O., Rouchon, D., Hollinger,
Ph., Ermolieff, A., Trimaille, I., Ganem, J.-J., Martin, F. et Séméria, M.N., Interface and material characterization of thin Al2O3 layers deposited by
ALD using TMA/H2O, J. Non-Crystal. Solids, 303 (2002), pp. 17-23.
[Green1999]
Green,
M.L.,
Sorsch,
T.W.,
Timp,
G.L.,
Muller,
D.A.,
Weir,
B.E.,
Silverman, P.J., Moccio, S.V. et Kim, Y.O., Understanding the limits of
ultrathin SiO2 and Si-O-N gate dielectrics for sub-50 nm CMOS, Microelectron.
Eng., 48 (1999), pp. 25-30.
[Green2001]
Green, M.L., Gusev, E.P., Degraeve, R. et Garfunkel, E.L., Ultrathin
(<4nm)
SiO2
and
Si-O-N
gate
dielectric
dielectric
layers
for
silicon
microelectronics: Understading the processing, structure, and physical and
electrical limits, J. Appl. Phys., 90 (2001), pp. 2057-2121.
[Green2002]
Green, M.L., Ho, M.-Y., Busch, B., Wilk, G.D., Sorch, T., Conard, T.,
Brijs, B. et Vandervorst, W., Raisanen, P.I., Muller, D., Bude, M. et
Grazul, J., Nucleation and growth of atomic layer deposited HfO2 gate
dielectric layers on chemical oxide (Si-O-H) and thermal oxide (SiO2 or Si-ON) underlayers, J. Appl. Phys., 92 (2002), pp. 7168-7174.
[Groner2002]
Groner, M.D., Elam, J.W., Fabreguette, F.H. et George, S.M., Electrical
characterisation of thin Al2O3 films grown by atomic layer deposition on
silicon and various metal substrates, Thin Solid Films, 413 (2002), pp. 186197.
[Guha2000]
Guha, S., Cartier, E., Gribelyuk, M.A., Bojarczuk, N.A. et Copel, M.C.,
Atomic beam deposition of lanthanum- and yttrium-based oxide thin films for
gate dielectrics, Appl. Phys. Lett., 77 (2000), pp. 2710-2712.
[Guha2001]
Guha, S., Cartier, E., Bojarczuk, Bruley, J., Gignac, L. et Karasinski, J.,
High-quality aluminum oxide gate dielectrics by ultra-high-vacuum reactive
atmic-beam deposition, J. Appl. Phys., 90 (2001), pp. 512-514.
[Guha2002a]
Guha, S., Gusev, E., Copel, M., Ragnarsson, L.-A. et Buchanan, D.A.,
Compatibility
challenges
for
high-k
materials
intergration
into
CMOS
technology, MRS bulletin, 27 (2002), pp. 226-229.
[Guha2002b]
Guha, S., Gusev, E.P., Okorn-Schmidt, H., Copel, M., Ragnarsson, L.-A.
et Bojarczuk, N.A., High temperature stability of Al2O3 dielectrics on Si :
Interfaciale metal diffusion and mobility degradation, Appl. Phys. Lett., 81
(2002), pp. 2956-2958.
[Guillaumot2002]
Guillaumot, B., Garros, X., Lime, F., Oshima, K., Tavel, B., Chroboczek,
J.A.,
Masson,
P.,
Truche,
R.,
Papon,
A.M.,
Damlencourt,
J.F.,
Maitrejean, S., Rivoire, M., Leroux, C., Cristoloveaunu, S., Ghibaudo,
CHANG Youjean - Thèse
190
Références bibliographiques
G., Autran, A., Skotnicki, T. et Deleonibus, S., 75 nm Damascence metal
gate and high-k integration for advanced CMOS devices, Tech. Dig. of the
Inter. Electron Device Meeting 2002.
[Gusev2000]
Gusev, E.P., Copel, M. et Cartier, E., Baumvol, I.J.R., Krug, C. et
Gribelyuk, M.A., High-resolution depth profiling in ultrathin Al2O3 films on
Si, Appl. Phys. Lett., 76 (2000), pp. 176-178.
[Gusev2001]
Gusev, E.P., Cartier, E., Buchanan, D.A., Gribelyuk, M., Copel, M.,
Okorn-Schmidt, H. et D'Emic, C., Ultrathin high-k metal oxides on silicon:
processing, characterization and integration issues, Microelecron. Eng., 59
(2001), pp. 341-349.
[Ha2002]
Ha, W.H., Choo, M.H. et Im, S., Electrical properties of Al2O3 film deposited
at low temperatures, J. Non-Crystalline Solids, 303 (2002), pp. 78-82.
[Harris2002]
Harris, H., Choi, K., Mehta, N., Chandolu, A., Biswas, N., Kipshidze, G.,
Nikishin, S., Ganopadhyay, S. et Temkin, H., HfO2 gate dielectric with 0,5
nm equivalent oxide thickness, Appl. Phys. Lett., 81 (2002), pp. 1065-1067.
[Harris2002]
Harris, H., Choi, K., Mehta, N., Chandolu, A., Biswas, N;, Kipshidze, G.,
Nikishin, S., Gangopadhyay, S. et Temkin, H., HfO2 gate dielectric with
0.5 nm equivalent oxide thickness, Appl. Phys. Lett., 81 (2002), pp. 10651067.
[Harrop1968]
Harrop, P.J. et Campbell, D.S., Selection of thin film capacitor dielectrics,
Thin Solid Films, 2 (1968), pp. 273-292.
[Hergenrother2001] Hergenrother, J.M., Wilk, G.D., Nigam, T., Klemens, F.P., Monroe, D.,
Silverman, P.J., Sorsch, T.W., Busch, B., Green, M.L., Baker, M.R.,
Boone, T., Bude, M.K., Ciampa, N.A., Ferry, E.J., Fiory, A.T., Hillenius,
S.J., Jacobson, D.C., Johnson, R.W., Kalavade, P., Keller, R.C., 50 nm
vertical replacement-gate (VRG) nMOSTEFs with ALD HfO2 and Al2O3 gate
dielectrics, Tech. Dig. of the Inter. Electron Device Meeting, San Francisco, CA
2001.
[Hersam2001]
Hersam, M.C., Guisinger, N.P., Lyding, J.W., Thompson, D.S. et Moore,
J.S., Atomic-level study of the robust of the Si(100)-2x1:H surface following
exposure to ambient conditions, Appl. Phys. Lett., 78 (2001), pp. 886-888.
[Hesto1986]
Hesto, P., The nature of electronic conduction in thin insulating layers, in G.
e. V. Barbottin, A., ed., Instabilities in silicon devices, North-Holland, 1986,
pp. 206.
[Higashi1989]
Higashi, G.S. et Fleming, C.G., Sequential surface chemical reaction limited
growth of high quality Al2O3 dielectrics, Appl. Phys. Lett., 55(19) (1989), pp.
1963-1965.
[Ho2002]
Ho, M.-Y., Gong, H., Wilk, G.D., Busch, B.W., Green, M.L., Lin, W.H.,
See, A., Lahiri, S.K., Loomans, M.E., Raisanen, P.I., Gustafsson, T.,
Suppressed crystallization of Hf-based gate dielectric by controlled addition of
Al2O3 using atomic layer deposition, Appl. Phys. Lett., 81 (2002), pp. 42184220.
[Hollinger2001]
Hollinger, P., Laugier, F., Dupuy, J.C., Surface Analysis Conference, 2001.
CHANG Youjean - Thèse
191
Références bibliographiques
[Houssa2001b]
Houssa, M., Afanas'ev, V.V., Stesmans, A. et Heyns, M.M., Defect
generation in Si/SiO2/ZrO2/TiN structures : the possible role of hydrogen,
Semicond. Sci. Technol., 16 (2001), pp. L93-L96.
[Houssa2001a]
Houssa, M., Naili, M., Zhao, C., Bender, H., Heyns, M.M. et Stesmans,
A., Effect of O2 post-deposition anneals on the properties of ultra-thin
SiOx/ZrO2 gate dielectric stacks, Semicond. Sci. Technol., 16 (2001), pp. 3138.
[Howard2002]
Howard, J.M., Craciun, V., Essary, C et Singh, R.K., Interfacial layer
formation during high-temperature annealing of ZrO2 thin films on Si, Appl.
Phys. Lett., 81 (2002), pp. 3431-3433.
[Huang1993]
Huang, C.-L. et Arora, N.D., Measurement and modeling of MOSFET I-V
characteristics with polysilicon deletion effect, IEEE Trans. Electron Devices,
40 (1993), pp. 2330.
[Hubbard1996]
Hubbard, K.J. et Scholom, D.G., Thermodynamic stability of binary oxides
in contact with silicon, J. Mater. Res., 11 (1996), pp. 2757-2776.
[Hubert-Pfalzgraff1997]
Hubert-Pfalzgraff,
L.G.,
Daniele,
S.,
Decams,
J.M.
et
Vaissermann, J., Molecar design of single source BaTiO3 and SrTiO3
precursors, J. Sol-gel. Technol., 8 (1997), pp. 49-54.
[Hubert-Pfalzgraff2003]
Hubert-Pfalzgraff, L.G., Some trends in the design of homo and
heterometallic
molecular
precursors
of
high
tech
oxides,
Inorg.
Chem.
Commun., 6 (2003), pp. 102.
[Intel2003]
www.intel.com/research/silicon/mooreslaw.htm
[Jeon2003]
Jeon, I.S., Park, J., Eom, D., Hwang, C.S., Kim, H.J., Park, C.J., Cho,
H.Y., Lee, J.-H., Lee, N.-I. et Kang, H.-K., Investigation of interface trap
states in TiN/Al2O3/p-Si capacitor by deep level transient spectroscopy, Appl.
Phys. Lett., 82 (2003), pp. 1066-1068.
[Jeon2002]
Jeon, S., Walker, F.J., Billman, C.A., Mckee, R.A. et Hwang, H., Epitaxial
SrTiO3 on silicon with EOT of 5.4 A for MOS gate dielectric applications, Tech.
Dig. of the Inter. Electron Device Meeting, 2002.
[Jeon2001]
Jeon, T.S., White, J.M. et Kwong, D.L., Thermal stability of ultrathin ZrO2
films prepared by chemical vapor deposition on Si(100), Appl. Phys. Lett., 78
(2001), pp. 368-370.
[Johnson2001]
Johnson, R.S., Lucovsky, G., Baumvol, I., Physical and electrical properties
of noncrystalline Al2O3 prepared by remote plasma enhanced chemical vapor
deposition, J. Vac. Sci. Technol. A, 19(4) (2001), pp. 1353-1360.
[Jones1989]
Jones, P.L. et Corbett, J.W., Investigation of the electrical degradation of
silicon Schottky contacts due to mercury contamination, Appl. Phys. Lett.,
55(22) (1989), pp. 2331-2332.
[Joshi1993]
Joshi, P.C. et Krupanidhi, S.B., Structural and electrical characteristics of
SrTiO3 thin films for dynamic random access memory applications, J. Appl.
Phys., 73 (1993), pp. 7627-7634.
[Jung2001]
Jung, H., Yang, H., Im, K et Hwang, H., Electrical characteristics of a
TaOxNy/ZrSixOy stack gate dielectric for metal-oxide-semiconductor device
applications, Appl. Phys. Lett., 79 (2001), pp. 4408-4410.
CHANG Youjean - Thèse
192
Références bibliographiques
[Kaneta1999]
Kaneta, C., Yamasaki, T., Uchiyama, T., Uda, T. et Terakura, K.,
Structure and electronic property of Si(100)/SiO2 interface, Microelectron.
Eng., 48 (1999), pp. 117-120.
[Kato2002]
Kato, H., Nango, T., Miyagawa, T., Katagiri, T., Seol, K.S. et Ohki, Y.,
Plasma-enhanced chemical vapor deposition and characterization of highpermittivity hafnium and zirconium silicate films, J. Appl. Phys. Lett., 92
(2002), pp. 1106-1111.
[Kim2003]
Kim, H., McIntyre, P.C. et Saraswat, K.C., Effects of crystallization on the
electrical properties of ultrathin HfO2 dielectrics grown by atomic layer
deposition, Appl. Phys. Lett., 82 (2003), pp. 106-108.
[Kim1997]
Kim, H.S., Campbell, S.A. et Gilmer, D.C., Charge trapping and degradation
in high-permittivity TiO2 dielectric films, IEEE Electron Device Lett., EDL-18
(1997), pp. 465-467.
[Kim1994]
Kim, I., Ahn, S.-D., Cho, B.-W. Ahn, S.-T., Lee, J.-Y., Chun, J.S. et Lee,
W.-J., Microstructure and electrical properties of tantalum oxide thin film
prepared by electron cyclotron resonance plasma-enhanced chemical vapor
deposition, Jpn. J. Appl. Phys., 33 (1994), pp. 6691-6698.
[Kim2002]
Kim, J.B., Kwon, D.R., Chakrabarti, Lee, C., Oh, K.Y. et Lee, J.H.,
Improvement in Al2O3 dielctric behavior by using ozone as an oxidant for the
atomic layer deposition technique, J. Appl. Phys., 92 (2002), pp. 6739-6742.
[Kim2002]
Kim, J.B., Kwon, D.R., Chakrabarti, Lee, C., Oh, K.Y. et Lee, J.H.,
Improvement in Al2O3 dielectric behavior by using ozone as an oxidant for the
atomic layer deposition technique, J. Appl. Phys., 92 (2002), pp. 6739-6742.
[Kim1997]
Kim, Y., Lee, S.M., Park, C.S., Lee., S.I. et Lee, M.Y.,
Substrate
dependence on the optical properties of Al2O3 films grown by atomic layer
deposition, Appl. Phys. Lett., 72 (1997), pp. 3604-3606.
[Kim2001]
Kim, Y., Gebara, G., Freiler, M., Barnett, J., Riley, D., Chen, J., Torres,
K., Lim, J., Foran, B., Shaapur, F., Agarwal, A., Lysaght, P., Brown,
G.A., Young, C., Borthakur, S., Li, H.-J., Nguyen, B., Zeitzoff, P.,
Bersuker, G., Derro, D., Bergmann, R., Murto, R.W, Conventional nchannel MOSFET devices using single layer HfO2 and ZrO2 as high-k gate
dielectrics with poloysilicon gate electrode, Tech. Dig. of the Inter. Electron
Device Meeting, San Francisco, CA 2001.
[Kingon2000]
Kingon, A.I., Maria, J.-P. et Streiffer, S.K., Alternative dielectrics to silicon
dioxide for memory and logic devices, Nature, 406 (2000), pp. 1032-1038.
[Kizilyalli1997]
Kizilyalli, I.C., Lyding, J.W. et Hess, K., Deuterium post-metal annealing of
MOSFET's for improved hot carrer reliability, IEEE Electron Device Lett., 18
(1997), pp. 81.
[Klausmann1989]
Klausmann, E., Fahrner, W.R. et Braunig, D., The electronic staes of the
Si-SiO2 interface, in G. e. V. Barbottin, A., ed., Instabilities in silicon devices,
North-Holland, 1989, pp. 271.
[Klein1999]
Klein, T.M., Niu, D., Epling, W.S., Li, W., Maher, D.M., Hobbs, C.C.,
Hegde, R.I., Baumvol, I.J.R. et Parsons, G.N., Evidence of aluminum
silicate formation during chemical vapor deposition of amorphous Al2O3 thin
films on Si(100), Appl. Phys. Lett., 75 (1999), pp. 4001-4003.
CHANG Youjean - Thèse
193
Références bibliographiques
[Kolodzey1997a]
Kolodzey, J., Chowdhury, E.A., Qui, G., Olowolafe, J., Swann, C.P.,
Unruh, K.M., Suehle, J., Wilson, R.G. et Zavada, J.M., The effects of
oxidation temperature on the capacitance-voltage characteristics of oxidized
AlN films on Si, Appl. Phys. Lett., 71(26) (1997), pp. 3802-3804.
[Kotecki1999]
Kotecki, D.E., Baniecki, J.D., Shen, H., Laibowitz, R.B., Saenger, K.L.,
Lian, J.J., Shaw, T.M., Athavale, S.D., Cabral Jr., C., Duncombe, P.R.,
Gutsche, M., Kunkel, G., Park, Y.-J., Wang, Y.-Y. et Wise, R., (Ba,
Sr)TiO3 dielectrics for future stacked-capacitor DRAM, IBM J. RES. & DEV., 43
(1999), pp. 367-382.
[Krisch1996]
Krisch, K.S., Green, M.L. , Baumann, F.H., Brasen, D., Feldman, L.C. et
Manchanda, L., Thickness dependence of boron penetration through O2- and
N2O- grown gate oxides and its impact on treshold voltage variation, IEEE
Trans. Elec. Dev., 43 (1996), pp. 982-990.
[Krug2000]
Krug, C., da Rosa, E.B.O., de Almeida, R.M.C., Morais, J., Baumvol,
I.J.R., Salgado, T.D.M. et Stedile, F.C., Atomic transport and chemical
stability during annealing of ultrathin Al2O3 films on Si, Phys. Rev. Lett., 85
(2000), pp. 4120-4123.
[Krupanidhi1997]
Krupanidhi, S.B. et Peng, C.-J.,
Studies
on structural and electrical
properties of barium strontium titanate thin films developed by metalloorganic decomposition, Thin Solid Films, 305 (1997), pp. 144-156.
[Kukli1996]
Kukli, K., Ihanus, J., Ritala, M. et Leskela, M., Tailoring the dielectric
properties of HfO2-Ta2O5 nanolaminates, Appl. Phys. Lett., 68(26) (1996),
pp. 3737-3739.
[Kukli1997]
Kukli, K., Ritala, M., Leskela, M. et Jokinen, J., Atomic layer epitaxy
growth of aluminum oxide thin films from a novel Al(CH3)2Cl precursor and
H2O, J. Vac. Sci. Technol. A, 15(4) (1997), pp. 2214-2218.
[Kundu2001]
Kundu, M., Miyata, N. et Ichikawa, M., Study of ultrathin Al2O3/Si(001)
interfaces
by
using
scanning
reflection
electron
microscopy
and
x-ray
photoelectron spectroscopy, Appl. Phys. Lett., 78 (2001), pp. 1517-1519.
[Kundu2002a]
Kundu, M., Ichkawa, M. et Miyata, N., Effect of oxygen pressure on the
structure and thermal stability of ultrathin Al2O3 films on Si(001), J. Appl.
Phys., 91 (2002), pp. 492-500.
[Kundu2002b]
Kundu, M., Miyata, N. et Ichkawa, M., Investigation of the effect of hightemperature annealing on stability of ultrathin Al2O3 on Si(001), J. Appl.
Phys., 92 (2002), pp. 1914-1921.
[Kwon1996]
Kwon, K.W., Kang, C.S., Park, S.O., Kang, H.K. et Ahn, S.T., Thermally
robust Ta2O5 capacitor for the 256-Mbit DRAM, IEEE Trans. Electron Devices,
ED-43 (1996), pp. 919-923.
[Lakomaa1996]
Lakomaa, E.-L., Root, A. et Suntola, T., Surface reactions in Al2O3 growth
from trimethylauminium
and water by atomic layer epitaxy, Appl. Surf. Sci.,
107 (1996), pp. 107-115.
[Lazar2001]
Lazar, H.R., Misra, Johnson, R.S. et Lucovsky, G., Characteristics of
metalorganic remote plasma chemical capor deposited Al2O3 gate stacks on
SiC metal-oxide-semiconductor devices, Appl. Phys. Lett., 79 (2001), pp. 973975.
CHANG Youjean - Thèse
194
Références bibliographiques
[Lee1999]
Lee, B.H., Kang, L., Qi, W.J., Nieh, R., Jeon, Y., Onisho, K. et Lee, J.C.,
Ultrathin Hafnium Oxide with Low Leakage and Excellent Reliability for
Alternative Gate Dielectric Application, IEEE International Electron Devices
Meeting, 1999.
[Lee2000a]
Lee, B.H., Kang, L., Nieh, R., Qi, W.J., et Lee, J.C., Thermal stability and
electrical characteristics of ultra hafnium oxide gate dielectric reoxidized with
rapid thermal annealing, Appl. Phys. Lett., 76 (2000), pp. 1926-1928.
[Lee2002a]
Lee, S.J., Jeon, T.S., Kwong, D.L. et Clark, R., Hafinium oxide gate stack
prepared by in situ rapid thermal chemical vapor deposition process for
advanced gate dielectrics, 2002, 92 (2002), pp. 2807-2809.
[Lee2002b]
Lee, G.-W., Lee, J.-H., Lee, H.-W., Park, M.-K., Kang, D.-G. et Youn, H.K., Trap evaluations of metal/oxide/silicon field effect transistors with high-k
gate dielectric using charge pumping methode, Appl. Phys. Lett., 81 (2002),
pp. 2050-2052.
[Lee2001]
Lee, H., Jeon, S. et Hwang, H., Electrical charateristics of a Dy-doped HfO2
gate dielctric, Appl. Phys. Lett., 79 (2001), pp. 2615-2617.
[Lee2000b]
Lee, J.H., Koh, K., Lee, N.I., Cho, M.H., Kim, Y.K., Jeon, J.S., Cho, K.H.,
Shin, H.S., Kim, M.H., Fujihara, K., Kang, H.K. et Moon, J.T., Effect of
polysilicon gate on the flat voltage shift and mobility degradtion for ALDAl2O3 gate dielectric, Tech. Dig. of the Inter. Electron Device Meeting, San
Francisco, CA, USA 2000.
[Lee2000b]
Lee, J.H., Koh, K., Lee, N.I., Cho, M.H., Kim, Y.K., Jeon, J.S., Cho, K.H.,
Shin, H.S., Kim, M.H., Fujihara, K., Kang, H.K. et Moon, J.T., Effect of
polysilicon gate on the flat voltage shift and mobility degradtion for ALDAl2O3 gate dielectric, Tech. Dig. of the Inter. Electron Device Meeting, San
Francisco, CA, USA 2000.
[Lee1997]
Lee, J.S., Song, H.W., Jun, B.-H., Kwack, D.H., Yu, B.G., Jiang, Z.-T. et
No, K., Dependence of the properties of (SrxTi1-x)O3 thin films deposited by
plasma-enhanced
metal-organic
chemical
vapor
deposition
on
electron
cyclotron resonance plasma, Thin Solid Films, 301 (1997), pp. 154-161.
[Legrand2003]
Legrand, J., Lhostis, S., Chang, Y., Militaru, L., Lamard, C., Sibai, A.,
Auvray, L., Audier, M., Sénateur, J.-P., Dubourdieu, C. et Ducroquet, F.,
Electrical characterization of SrTiO3 thin films deposited on Si(001) substrate
by liquid injection MOCVD, A appaître dans Microelec. Eng. (2003).
[Lehmann2002]
Lehmann, V., Electrochemistry of silicon, Wiley-VCH, 2002.
[Lhostis2003a]
Lhostis, S., Audier, M., Sénateur, J.P., Dubourdieu, C. et Auvray, L.,
Textures SrTiO3 thin films on Si/SiO2 by liquid injection MOCVD using a new
bi-metallic precurseur, EUROCVD, 2003.
[Lhostis2003b]
Lhostis, S., Séminaire au LPM, 2003.
[Lhostis2003c]
Lhostis, S. et Audier, M., Communucation personnelle, , 2003.
[Lin2002b]
Lin, Y.-S., Puthenkovilakam, R. et Chang, J.P., Dielectric property and
thermal stablity of HfO2 on silicon, Appl. Phys. Lett., 81 (2002), pp. 20412043.
[Liu2002]
Liu, C.-L., Jiang, Z.X., Hegde, R.I., Sieloff, D.D., Rai, R.S., Gilmer, D.C.,
Hobbs,
CHANG Youjean - Thèse
C.C.,
Tobin,
T.J.
et
195
Lu,
S.,
Theoretical
and
experimental
Références bibliographiques
investigation of boron diffusion in polyscrystalline HfO2 films, Appl. Phys.
Lett., 81 (2002), pp. 1441-1443.
[Lo1997]
Lo, S.-H., Buchanan, D.A., Taur, Y. et Wang, W., Quantum-mechanical
modeling of electron tunneling current from the inversion layer of ultra-thinoxide nMOSFET's, IEEE Electron Device Lett., 18 (1997), pp. 209-211.
[Lucovsky1999a]
Lucovsky, G., Wu, Y., Niimi, H., Misra, V. et J.C. Phillips, Bonding
constraints and defect formation at interfaces between crystalline silicon and
advanced single layer and composite gate dielectrics, Appl. Phys. Lett., 74
(1999), pp. 2005-2007.
[Lucovsky1999b]
Lucovsky, G., Phillips, J.C., The effects of chemical bonding and band offset
constraints at Si-dielectric interfaces on the integration of alternative high-k
dielectrics into aggressively-scaled CMOS Si devices, Microelectronic Eng., 48
(1999), pp. 291-294.
[Lucovsky2001c]
Lucovsky, G., Whitten, J.L. et Zhang, Y., A molecular orbital model for the
electronic structure of transition metal atoms in silicate and aluminate alloys,
Microelectron. Eng., 59 (2001), pp. 329-334.
[Ludeke2000c]
Ludeke, R., Cuberes, M.T., et Cartier, E., Local transport and trapping
issues in Al2O3 gate oxide structures, Appl. Phys. Lett., 76 (2000), pp. 28862888.
[Luo2001]
Luo, Z.J., Guo, X., Ma, T.P. et Tamagawa, T., Temperature dependence of
gate currents in thin Ta2O5 and TiO2 films, Appl. Phys. Lett., 79 (2001), pp.
2803-2804.
[Manchanda1998]
Manchanda, L., Lee, W.H., Bower, J.E., Baumann, F.H., Brown, W.L.,
Case, C.J., Keller, R.C., Kim, Y.O., Laskowski, E.J., Morris, M.D., Opila,
R.L., Silverman, P.J., Sorsch, T.W. et Weber, G.R., Gate Quality Doped
High-k Films for CMOS Beyond 100nm: 3-10nm Al2O3 with Low leakage and
Low Interface States, Tech. Dig. Int. Electron Devices Meet. (1998), pp. 605.
[Manchanda2000]
Manchanda, L., Green, M.L., Van Dover, R.B., Morris, M.D., Kerber, A.,
Hu, Y., Han, J.-P., SIlverman, P.J., Sorsch, T.W., Weber, G., Donnelly,
V., Pelhos, K., Klemens, F., Ciampa, N.A., Kornblit, A., Kim, Y.O.,
Bower, J.E., Barr, D., Ferry, E., Jacobson, D., Eng, J.? Bu, Si-doped
aluminates for high temperature metal-gate CMOS: Zr-Al-Si-O, a novel gate
dielectric for low power applications, Tech. Dig. Int. Electron Devices Meet.
(2000), pp. 23-26.
[Mao1999]
Mao, A.Y., Son, K.A., White, J.M., Kwong, D.L., Roberts, D.A. et Vrtis,
R.N., Effects of vacuum and inert gaz anneling of ultrathin taltalum pentoxide
films on Si (100), J. Vac. Sci. Technol. A, 17 (1999), pp. 954-960.
[Maria2001]
Maria, J.-P., Wicaksana, D., Kingon, A.I., Busch, B., Schulte, H.,
Garfunkel, E. et Gustafsson, T., HIgh temperature stability in lanthanum
and zirconia-based gate dielectrics, J. Appl. Phys., 90 (2001), pp. 3476-3482.
[Martin2001]
Martin,
F.,
L'utilisation
de
matériaux
de
haute
permittivité
comme
diélectrique de grille : un défi technologie, Séminaire Projet Matériaux High-kENSERG, CEA/LETI, 2001.
CHANG Youjean - Thèse
196
Références bibliographiques
[Maserjian1974]
Maserjian, J., Peterson, G. et Svensson, C., Saturation capacitance of thin
oxide MOS structures and the effective surface density of states of silicon,
Solid State Electron., 17 (1974), pp. 335-339.
[Maserjian1974]
Maserjian, J., Tunneling in thin MOS structures, J. Vac. Sci. Technol., 11
(1974), pp. 996-1003.
[McKee1998]
McKee, R.A., Walker, F.J. et Chisholm, M.F., Crystalline oxides on silicon:
The first five monolayers, Phys. Rev. Lett., 81 (1998), pp. 3014-3017.
[MDC1982]
MDC, Operator's manual three function mercury probe Model 811, Materials
Development Corporation, Chatsworth, California, 1982.
[Misra2001]
Misra, A., Bist, H.D., Navati, M.S., Thareja, R.K. et Narayan, J., Thin film
of aluminum oxide through pulsed laser deposition: a micro-raman study,
Materials Sci. and Eng., B79 (2001), pp. 49-54.
[Misra2000]
Misra, V., Heuss, G. et zhong, H., Advanced metal electrodes for high-k
[Misra2002]
Misra, V., Lucovsky, G. et Parsons, G., Issues in high-k gate stack
dielectrics, Proceedings of the MRS Workshop, New Orleans, USA 2000.
interfaces, MRS bulletin, 27 (2002), pp. 212-216.
[Miyazaki2002]
Miyazaki, S., Narasaki, M., Ogasawara, M. et Hirose, M., Chemical and
electronic structure of ultrathin zirconium oxide films on silicon as determined
by photoelectron spectroscopy, Solid-State Electron., 46 (2002), pp. 16791685.
[Moore1965]
Moore, G., Cramming more components onto integrated circuits, Electronics,
38 (1965).
[Morais2001a]
Morais, J., da Rosa, E.B.O., Pezzi, R.P., Miotti, L. et Baumvol, I.J.R.,
Composition, atomic transport, and chemical stability of ZrAlxOy ultrathin
films deposited on si (001), Appl. Phys. Lett., 79 (2001), pp. 1998-2000.
[Morais2001b]
Morais, J., da Rosa, E.B.O., Miotti, L., Pezzi, R.P., Baumvol, I.J.R.,
Rotondaro, A.L.P., Bevan, M.J. et Colombo, L., Stability of zirconium
silicate films on Si under vacuum and O2 annealing, Appl. Phys. Lett., 78
(2001), pp. 2446-2448.
[Morais2002]
Morais, J., Miotti, L., Soares, G.V., Teixeira, S.R., Pezzi, R., Bastos,
K.P., Baumvol, I.J.R., Rotondaro, A.L.P., Chambers, J.J., Visokay, M.R.
et Colombo, L., Integrity of hafnium silicate/silicon dioxide ultrathin films on
Si, Appl. Phys. Lett., 81 (2002), pp. 2995-2997.
[Muller1999]
Muller, D.A., Sorsch, T., Moccio, S., Baumann, F.H., Evans-Lutterodt, K.
et Timp, G., The electronic structure at the atomic scale of ultrathin gate
oxides, Nature, 399 (1999), pp. 758-761.
[Muller2001]
Muller, D.A. et Wilk, G.D., Atomic scale measurements of the interfacial
electronic structure and chemistry of zirconium silicate gate dielectrics, Appl.
Phys. Lett., 79 (2001), pp. 4195-4197.
[Nakajima2001]
Nakajima,
A.,
Yoshimoto,
T.,
Kidera,
T.
et
Yokoyama,
S.,
Low-
temperature formation of silicon nitride gate dielectrics by atomic-layer
deposition, Appl. Phys. Lett., 79 (2001), pp. 665-666.
[Neaman1992]
Neaman, D.A., Semiconductor Physics and Devices : basic principles, IRWIN,
1992.
CHANG Youjean - Thèse
197
Références bibliographiques
[Neaton2000]
Neaton, J.B., Muller, D.A. et Ashcroft, N.W., Electronic properties of the
Si/SiO2 interface from first principles, Phys. Rev. Lett., 85 (2000), pp. 12981301.
[Nicollian1992]
Nicollian, E.H. et Brews, J.R., MOS(Metal Oxide Semiconductor) Physics and
Technology, Ed. John Wiley and Sons, Murray Hill, New Jersey, 1992.
[Nieh2002]
Nieh, R., Choi, R., Gopalan, S., Onishi, K., Kang, C.S., Cho, H.-J.,
Krishnan, S. et Lee, J.C., Evaluation of silicon surface nitridation effects on
ultra-thin ZrO2 gate dielectrics, Appl. Phys. Lett., 81 (2002), pp. 1663-1665.
[Nishioka1987]
Nishioka, Y., Shinriki, H. et Mukai, K., Influence of SiO2 at the Ta2O5/Si
interface on dielectric characteristics of Ta2O5 capacitors, J. Appl. Phys., 61
(1987), pp. 2335.
[Nohira2002]
Nohira, H., Tsai, W., Besling, W., Young, E., Petry, J., Conard, T.,
Vandervorst, W., De Gendt, S., Heyns, M., Maes, J. et Tuominen, M.,
Characterization of ALCVD-Al2O3 and ZrO2 layer using X-ray photoelectron
spectroscopy, J. Non-Crystal. Solids, 303 (2002), pp. 83-87.
[Opila2002]
Opila, R.L., Wilk, G.D., Alam, M.A., van Dover, R.B. et Busch, B.W.,
Photoemission study of Zr- and Hf-silicates for use as high-k oxides : Role of
second nearest neighbors and interface charge, 81, 81 (2002), pp. 17881790.
[Osburn2002]
Osburn, C.M., Kim, I., Han, S.K., De, I., Yee, K.F., Ganavaram, S., Lee,
S.J.,
Lee,
C.-H.,
Luo,
Z.J.,
Zhu,
W.,
HAuser,
J.R.,
Kwong,
D.-L.,
Lucovsky, G., Ma, T.P. et Öztürk, M.C., Vertically scaled MOSFET gate
stackes and juctions : How far are we likely to go?, IBM J., RES. & DEV., 46
(2002), pp. 299-315.
[Ott1997]
Ott, A.W., Klaus, J.W., Johnson, J.M. et George, S.M., Al2O3 thin film
growth on Si(100) using binary reaction sequence chemistry, Thin Solid Films,
292 (1997), pp. 135-144.
[Pande1983]
Pande, K.P., Nair, V.K. et Guitierrez, D., Plasma enhanced metal-organic
chemical
vapor
deposition
of
aluminum
oxidedielectric
film
for
device
applications, J. Appl. Phys., 54(9) (1983), pp. 5436-5440.
[Park2002]
Park, B.K., Park, J., Cho, M., Hwang, C.S., Oh, K., Han, Y. et Yang, D.Y.,
Interfacial reaction between chemically vapor-deposited HfO2 thin films and a
HF-cleaned Si substrate during film growth and postannealing, Appl. Phys.
Lett., 80 (2002), pp. 2368-2370.
[Park2000c]
Park, D.-G., Cho, H.-J., Yeo, I.-S., Roh, J.-S. et Hwang, J.-M., Boron
penetration
in
p+
polycrustalline-Si/Al2O3/Si
metal-oxide-semiconductor
system, Appl. Phys. Lett., 77 (2000), pp. 2207-2209.
[Park2000b]
Park, D.-G., Cho, H.-J., Lim, C., Yeo, I.-S., Roh, J.-S., Kim, C.-T. et
Hwang, J.-M., Characteristics of Al2O3 gate dielectric prepared by atomic
layer deposition for giga scale CMOS DRAM devices, Tech. Dig. VLSI Symp.,
2000.
[Park2002b]
Park, D.-G., Lim, K.-Y., Cho, H.-J., Kim, J.-J., Yang, J.-M., Ko, J.-K., Yeo,
I.-S., Park, J.W., de Waard, H. et Tuominen, M., Boron penetration and
thermal
instablity
of
p+
polycrystalline-Si/ZiO2/SiO2/n-Si
semiconductor structures, J. Appl. PHys., 91 (2002), pp. 65-68.
CHANG Youjean - Thèse
198
metal-oxide-
Références bibliographiques
[Park2000a]
Park, I.-S., Lee, B.T., Choi, S.J., Im, J.S., Lee, S.H., Park, K.Y., Lee,
J.W., Hyung, Y.W., Kim, Y.K., Park, H.S., Park, Y.W., Lee, S.I. et Lee,
M.Y., Novel MIS Al2O3 capacitor as a prospective technogy for Gbit DRAMs,
Tech. Dig. VLSI Symp., 2000.
[Perkins2001a]
Perkins, C., Triplett, B.B., McIntyre, P.C., Saraswat, K.C., Haukka, S. et
Tuominen, M., Electrical and materials properties of ZrO2 gate dielectrics
grown by atomic layer chemical vapor deposition, Appl. Phys. Lett., 78
(2001), pp. 2357-2359.
[Pierret1990]
Pierret, R.F., Field Effect Devices, Addison-Wesley, 1990.
[Qi2000c]
Qi, W.-J., Nieh, R., LEe, B.H., Kang, L., Jeon, Y., Lucas, A. et Lee, J.C.,
Electrical and reliability charateristics of ZrO2 deposited directly on Si for gate
dielectric application, Appl. Phys. Lett., 77 (2000), pp. 3269-3271.
[Queeney2000]
Queeney, K.T., Weldon, M.K., Chang, J.P., Chabal, Y.J., Gurevich, A.B.,
Sapjeta, J. et Opila, R.L., Infrared spectroscopic analysis of the Si/SiO2
interface structure of thermally oxidized silicon, J. Appl. Phys., 87 (2000), pp.
1322-1330.
[Quevedo-Lopez2001]
Quevedo-Lopez, M., El-Bouanani, M., Assepalli, S., Duggan, J.L.,
Gnade, B.E., Wallace, R.M., Visokay, M.R., Douglas, M. et Colombo, L.,
Hafnium interdiffusion studies from hafnium silicate into silicon, Appl. Phys.
Lett., 79 (2001), pp. 4192-4194.
[Quevedo-Lopez2002b] Quevedo-Lopez,
M.,
El-Bouanani,
Gnade,
B.E.,
Wallace,
R.M.,
Visokay, M.R., Douglas, M. Bevan, M.J. et Colombo, L., Interdiffusion
studies for HfSixOy and ZrSixOy on Si, J. Appl. Phys., 92 (2002), pp. 35403550.
[Quevedo-Lopez2002a] Quevedo-Lopez, M.A., El-Bouanani, M., Kim, M.J., Gnade, B.E.,
Wallace, R.M., Visokay, M.R., LiFatou, A., Bevan, M.J. et Colombo, L.,
Boron penetration studies from p+ polycrystalline Si through HfSixOy, Appl/
Phys. Lett., 81 (2002), pp. 1074-1076.
[Ragnarsson2001]
Ragnarsson, L.-A., Guha, S., Bojarczuk, N.A., Cartier, E., Fischetti,
M.V., Rim, K. et Karasinski, J., Electrical characterization of Al2O3 nchannel MOSFETs with aluminum gates, IEEE Electron Device Lett., 22 (2001),
pp. 490-492.
[Ramanathan2001a] Ramanathan, S., Wilk, G.D., Muller, D.A., Park, C.-H. et McIntyre, P.C.,
Crowth
and
characterization
of
ultrathin
zirconia
dielectrics
grown
by
ultraviloet ozone oxidation, Appl. Phys. Lett., 79 (2001), pp. 2621-2623.
[Ramanathan2002] Ramanathan, S., C.M. et McIntyre, P.C., Electrical properties of thin film
zirconia grown by ultraviolet ozone oxidation, J. Appl. Phys., 91 (2002), pp.
4521-4527.
[Rausch1992]
Rausch, N. et Burte, E.P., Thin high-dielectric:TiO2 films prepared by low
pressure MOCVD, Microelectron. Eng., 19 (1992), pp. 725-728.
[Raynaud1999]
Raynaud, C. et Autran, J.-L., Physique et modelisation des dispositifs MOS,
Departement SGM, INSA-LYON, Villeurbanne, 1999.
[Renault2002a]
Renault, O., Gosset, L.G., Rouchon, D. et Ermolieff, A., Angle-resolved
photoelectron spectroscopy of ultrathin Al2O3 films grown by atomic layer
deposition, J. Vac. Sci. Technol. A., 20 (2002), pp. 1867-1876.
CHANG Youjean - Thèse
199
Références bibliographiques
[Renault2002b]
Renault, O., Samour, D., Damlencourt, J.-F., Blin, D., Martin, F.,
Marthon, S., Barrett, N.T. et Besson, P., HfO2/SiO2 interface chemistry
studied by synchrotron radiation x-ray photoelectron spectroscopy, Appl.
Phys. Lett., 81 (2002), pp. 3627-3629.
[Renault2002c]
Renault, O., Samour, D., Rouchon, D., Hollinger, Ph., Papon, A.-M.,
Blin, D. et Marthon, S., Interface properties of ultrathin-thin HfO2 films
grown by atomic later deposition on SiO2/Si, Thin Solid Films, publication en
progrès (2002).
[Ritala1996]
Ritala, M., Saloniemi, H., Leskela, M., Prohaska, T., Friedbacher, G. et
Grasserbauer, M., Studies on the morphology of Al2O3 thin films grown by
atomic layer epitaxy, Thin Solid Films, 286 (1996), pp. 54-58.
[Ritala1999]
Ritala, M., Leskela, M., Dekker, J.-P., Mutsaers, C., Soininen, P.J. et
Skarp, J., Perfectly conformal TiN and Al2O3 films deposited by atomic layer
deposition, chemical vapor deposition, 5 (1999), pp. 7.
[Robertson2000]
Robertson, J., Band offsets of wide-band-gap oxides and implications for
future electronic devices, J. Vac. Sci. Technol. B, 18(3) (2000), pp. 17851791.
[Robertson2002b]
Robertson, J., Band offsets of high dielectric constant gate oxides on silicon,
J. Non-Crystalline Solids, 303 (2002), pp. 94-100.
[Robertson2002]
Robertson, J., Electronic structure and band offsets of high-dielectricconstant gate oxides, MRS bulletin, No. 3 (2002), pp. 217-221.
[Samsonov1982]
[Sarkar2002]
Samsonov, G.V., ed., The oxide handbook, Plenum, New York, 1982.
Sarkar, D.K., Desbiens, E. et Khakani, M.A., High-k titanium silicate
dielectric thin films grown by pulsed-laser deposition, Appl. phys. Lett., 80
(2002), pp. 294-296.
[Schlom2002]
Schlom, D.G. et Haeni, J.H., A thermodynamic approach to selecting
alternative gate dielectrics, MRS bulletin, 27 (2002), pp. 198-204.
[Schroder1998]
Schroder, D.K., Semiconductor material and device characterization, John
Wiley & Sons, INC, 1998.
[Schulz1999]
Schulz, M., The end of the road for silicon?, Nature, 399 (1999), pp. 729.
[Shao2002]
Shao, Q.-Y., Li, A.-D., Ling, H.-Q., Wu, D., Wang, Y., Feng, Y., Yang, S.Z., Liu, Z.-G., Wang, M., Ming, N.-B., Growth and characterization of Al2O3
gate dielectric films by low-pressure metalorganic chemical vapor deposition,
Microelect. Eng., 66 (2002), pp. 842-848.
[Shappir1986]
Shappir, J., Anis, A. et Pinsky, I., IEEE Trans. Electron Devices, ED-33
(1986), pp. 442.
[Shutthanandan2002]
Shutthanandan, V., Thevuthasan, S., Liang, Y. et Adams, E.M., Direct
observation of atomic disordering at the SrTiO3/Si interface due to oxygen
diffusion, Appl. Phys. Lett., 80 (2002), pp. 1803-1805.
[SIA2002update]
SIA, International Technology Roadmap for Semiconductors, Semiconductor
Industry Association, http://public.itrs.net/Files/2002Update/Home.pdf, San
Jose, CA, 2002.
[SIA2001]
SIA, International Technology Roadmap for Semiconductors, Semiconductor
Industry Association, San Jose, CA, 2001.
CHANG Youjean - Thèse
200
Références bibliographiques
[Sim2002]
Sim, H. et Hwang, H., Effect of deuterium postmetal annealing on the
realiability characteristics of an atomic-layer-deposited HfO2/SiO2 stack gate
dielectrics, Appl. Phys. Lett., 81 (2002), pp. 4038-4039.
[Song2001]
Song, S., Yi, J., Kim, W., Fujihara, K., Kang, H.-K., Moon, J.-T. et Lee,
M.-Y.,
On
the
gate
oxide
scaling
of
sub-100nm
CMOS
transistors,
J.
Semiconductor technology and science., 1 (2001), pp. 103-110.
[Stathis2002]
Stathis, J.H., Reliability limits for the gate insulator in CMOS technology, IBM
J. RES. & DEV., 46 (2002), pp. 265-286.
[Stemmer2001]
Stemmer, S., Maria, J.-P. et Kingon, A.I., Structure and stability of
La2O3/SiO2 layers on Si(001), Appl. Phys. Lett., 79 (2001), pp. 102-104.
[Stesmans2002]
Stesmans, A., Afanas'ev, V.V. et Houssa, M., Electron spin resonance
analysis of interfacial Si dangling bond defects in stacks of ultrathin SiO2,
Al2O3, and ZrO2 layers on (100)Si, J. Non-Crystalline Solids, 303 (2002), pp.
162-166.
[Suntola1994]
Suntola, T., Atomic layer epitaxy, in D. T. J. Hurle, ed., Thin films and
epitaxy Part B : Growth mechanisms and dynamics, North Holland, 1994.
[Suntola1977]
Suntola, T. et Antson, M.J., , 1977.
[Takaishi1994]
Takaishi, Y., Sakao, M., Kamiyama, S., Suzuki, H. et Watanabe, H., Lowtemperature integrated process below 500°C for thin Ta2O5 capacitor for
giga-bit DRAMs, Tech. Dig. Int. Electron Devices Meet., 1994.
[Tang1998]
Tang, S., Wallace, R.M., Seabaugh, A. et King-Smith, D., Evaluating the
minimum thickness of gate oxide on silicon using first-principles method,
Appl. Surf. Sci., 135 (1998), pp. 137-142.
[Taur1997]
Taur, Y. et Nowak, J., CMOS devices below 0.1 um: How high will
[Tavel2002]
Tavel, B., Garros, X., Skotnicki, T., Martin, F., Leroux, C., Bensahel, D.,
performance go?, Tech. Dig. of the Inter. Electron Device Meeting, 1997.
Séméria, M.N., Morand, Y., Damlencourt, J.F., Descombes, S., Leverd,
F., Le-Friec, Y., Leduc, P., Rivoire, M., Jullian, S. et Pantel, R., High
performance 40 nm nMOSFETs with HfO2 gate dielectric and polysilicon
damascene gate, Tech. Dig. of the Inter. Electron Device Meeting, 2002.
[Thibault-Desseaux1987]
Thibault-Desseaux,
J.,
Microscopie
Electronique
à
Haute
Résolution, in C. Willaime, ed., Initiation à la microscopie électronique par
transmission:
minéralogie
sciences
des
matériaux,
Société
Française
de
Minéralogie et de Cristallographie, Paris, 1987, pp. 215.
[Thompson1998]
Thompson, S., Packan, P. et Bohr, M., MOS scaling : Transistor challenges
for the 21st century, Inter Technology Journal, 3rd quarter'98 (1998), pp. 119.
[Timp1997]
Timp, G., Agarwam, A., Baumann, F.H., Boone, T., Buonanno, M.,
Cirelli, R., Donelly, V., Jacobson, D., Kleiman, R., Kornblit, A., Klemens,
F., Lee, J.T.-C., Mansfield, W., Moccio, S., Murrell, A., O'Malley, M.,
Rosamilia, J., Sapjeta, J., Silverman, P., Sorch, T., , Tech. Dig. Int.
Electron Devices Meet., Washington DC., USA 1997.
[Timp1998]
Timp, G., Bourdelle, K.K., Bower, J.E., Baumann, F.H., Boone, T., Cirelli,
R., Evans-Lutterodt, K., Garno, J., Ghetti, A., Gossmann, H., Green, M.,
Jacobson,
CHANG Youjean - Thèse
D.,
Kim,
Y.,
Kleiman,
201
R.,
Klemens,
F.,
Kornblit,
A.,
Références bibliographiques
Lochstampfor, C., Mansfield, W., Moccio, S., Muller, D, Progress toward
10 nm CMOS devices, Tech. Dig. Int. Electron Devices Meet., 1998.
[Timp1999]
Timp, G., Bude, J., Bourdelle, K.K., Garno, J., Ghetti, A., Gossmann, H.,
Green, M., Forsyth, G., Kim, Y., Kleiman, R., Klemens, F., Kornblit, A.,
Lochstampfor, C., Mansfield, W., Moccio, S., Sorsch, T., Tennant, D.M.,
Timp, W. et Tung, R., The ballistic nano-transistor, Tech. Dig. Int. Electron
Devices Meet., 1999.
[Tsai2002]
Tsai, W., Carter, R.J., Nohira, H., Caymax, M., Conard, T., Cosnier, V.,
DeGendt, S., Heyns, M., Petry, J., Richard, O., Vandervorst, W., Young,
E., Zao, C., Maes, J., Tuominen, M., Schulte, W.H., Garfunkel, E. et
Gustafsson,
T.,
Surface
preparation
and
interface
stability
of
high-k
dielectrics deposited by atomic layer chemical vapor deposition, Microelectron.
Eng., 1 (2002).
[van Dover1999]
van Dover, R.B., Amorphous lanthanide-doped TiOx dielectric films,
Appl. Phys. Lett., 74 (1999), pp. 3041.
[Vincent1997]
Vincent, E., Ghibaudo, G., Morin, G. et Papadas, C., On the oxide
thickness extration in deep-submicron technologies, Proc. IEEE 1997 Int.
Conference on Microelectronic Test Structures, 1997.
[Wado1995]
Wado, H., Shimizu, T. et Ishida, M., Epitaxial growth of r-Al2O3 layers in
Si(111) using Al solid source and N2O gas molecular beam epitaxy, Appl.
Phys. Lett., 67(15) (1995), pp. 2200-2202.
[Wallace2002]
Wallace, R.M. et Wilk, G., Alternative gate dielectrics for microelectronics,
MRS bulletin, 27 (2002), pp. 186-191.
[Wallace2002]
Wallace, R.M. et Wilk, G., High-k gate dielectric materials, MRS bulletin, 27
(2002), pp. 192-197.
[Walls1987]
Walls, J.M., ed., Methods of surface analysis, Cambridge University Press,
Cambridge, 1987.
[Wang2001a]
Wang, S.J., Ong, C.K., Xu, S.Y., Chen, P., Tiju, W.C., Chai, J.W., Huan,
A.C.H., Yoo, W.J., Lim, J.S., Feng, W. et Choi, W.K., Crystalline zirconia
oxide on silicon as alternative gate dielectrics, Appl. Phys. Lett., 78 (2001),
pp. 1604-1606.
[Watanabe2001a]
Watanabe, H., Interface engineering of a ZrO2/SiO2/Si layered structure by
in situ reoxidation and its oxygen-pressure-dependent thermal stability, Appl.
Phys. Lett., 78 (2001), pp. 3803-3805.
[Wilde2002]
Wilde, M., Matsumoto, M., Fukutani, K., Liu, Z., Ando, K., Kawashima,
Y. et Fudieda, S., Influence of H2 -annealing on the hydrogen distribution
near SiO2/Si (100) interfaces revealed by in situ nuclear reaction analysis, J.
Appl. Phys., 92 (2002), pp. 4320-4329.
[Wilk2000a]
Wilk, G.D., Wallace, R.M. et Anthony, J.M., Hafnium and zirconium
silicates
for advanced gate dielectrics, J. Appl. Phys., 87 (2000), pp. 484-
492.
[Wilk2001]
Wilk, G.D., Wallace, R.M. et Anthony, J.M., High-k gate dielectrics: Current
status and materials properteis considerations, J. Appl. Phys., 89 (2001), pp.
5243-5275.
CHANG Youjean - Thèse
202
Références bibliographiques
[Wilk1999]
Wilk, G.D. et Wallace, R.M., Electrical properties of hafnium silicate gate
dielectrics deposited directly son silicon, Appl. Phys. Lett., 74 (1999), pp.
2854-2856.
[Wilk2000b]
Wilk, G.D. et Wilk, R.M., Stable zirconium silicate dielectrics deposited on
silicon, Appl. Phys. Lett., 76 (2000), pp. 112-114.
[Wong2002]
Wong, H.-S.P., Beyond the conventional transistor, IBM J., RES. & DEV., 46
(2002), pp. 133-168.
[Wu2000]
Wu, Y.H., Yang, M.Y., Chin, A., Chen, W.J. et Kwei, C.M., Electrical
characteristics of high quality La2O3 gate dielectric with equivalent oxide
thickness of 5A, IEEE Electron Device Lett., 21 (2000), pp. 341-343.
[Xu2002]
Xu, Z., Houssa, M., Carter, R., Naili, M., De Gendt, S. et Heyns, M.,
Constant voltage stress induced degradation in HfO2/SiO2 gate dielectric
stacks, J. Appl. Phys., 91 (2002), pp. 10127-10129.
[Yablonovitch1986] Yablonovitch, E., Allara, D.L., Chang, C.C., Gmitter, T. et Bright, T.B.,
Unusually
low
surface-recombination
velocity
on
silicon
and
germanium
surfaces, Phys. Rev. Lett., 57 (1986), pp. 249-252.
[Yamaguchi2000]
Yamaguchi, T., Satake, H., Fukushima, N. et Toriumi, A., Band diagram
and
carrier
conduction
mechanism
in
ZrO2/Zr-silicate/Si
MIS
structure
fabricated by pulsed-laser-ablation deposition, Tech. Dig. Int. Electron Devices
Meet. (2000), pp. 19-22.
[Yamamoto2002]
Yamamoto, K., Hayashi, S., Kubota, M. et Niwa, M., Effect of Hf metal
Predeposition on the properties of sputtered HfO2/Hf stacked gate dielectrics,
Appl. Phys. Lett., 81 (2002), pp. 2053-2055.
[Yang2000]
Yang, W.S., Effect of SiO2 intermediate layer on the Al2O3/SiO2/N+ - Si-poly
interface deposited using ALD for deep sub-u device applications, Surface and
Coatings Technol., 131 (2000), pp. 79-83.
[Yeo2002]
Yeo, Y.-C., King, T.-J. et Hu, C., Direct tunneling leakage current and
scalibility of alternative gate dielectrics, Appl. Phys. Lett., 81 (2002), pp.
2091-2093.
[Yu2002]
Yu, H.Y., Wu, N., Li, M.F., Zhu, C., Cho, B.J., Kwong, D.-L., Tung, C.H.,
Pan, J.S., Chai, J.W., Wang, W.D., Chi, D.Z., Ang, C.H., Zheng, J.Z. et
Ramanathan, S., Thermal stability of (HfO2)x(Al2O3)1-x on Si, Appl. Phys.
Lett., 81 (2002), pp. 3618-3620.
[Yun1997a]
Yun, J.H. et Rhee, S.W., Experimental and theoretical study of step
coverage in metal-organic chemical vapor deposition of tantalum oxide thin
films, Thin Solid Films, 292 (1997), pp. 324-329.
[Yun1997]
Yun, S.J., Lee, K.-H., Skarp, J., Kim, H.-R. et Nam, K.S., Dependence of
atomic layer-deposited Al2O3 films characteristics on growth temperature and
Al precursors of Al(CH3)3 and AlCl3, J. Vac. Sci. Technol. A, 15(6) (1997), pp.
2993-2997.
[Zanetti1997]
Zanetti, S.M., Longo, E., Varela, J.A. et Leite, E.R., Microstruture and
phase evolution of SrTiO3 thin films on Si prepared by the use of polymeric
precursors, Materials Lett., 31 (1997), pp. 173-178.
CHANG Youjean - Thèse
203
Références bibliographiques
[Zhang2000a]
Zhang, H., Solanki, R., Roberds, B., Bai, G. et Banerjee, I., High
permittivity thin films nanolaminates, J. Appl. Phys., 87 (2000), pp. 19211924.
[Zhang2002b]
Zhang, N., Song, Z., Xing, S., Shen, Q. et Lin, C., Interfacial stability
betweein zirconium oxide thin films and silicon, Microelectron. Eng., sous
presse (2002).
[Zhao2002]
Zhao, C., Richar, O., Young, E., Bender, H., Roebben, G., Haukka, S., De
Gendt, S., Houssa, M., Carter, R., Tsai, W., Van Der Biest, O. et Heyns,
M., Thermostability of amorphous zirconium aluminate high-k layers, J. NonCrystalline Solids, 303 (2002), pp. 144-149.
[Zhong2001]
Zhong, H., Heuss, G., Misra, V., Luan, H., Lee, C-H. et Kwong, D.-L.,
Characterization of RuO2 electrodes on Zr silicate and ZrO2 dielectrics, Appl.
Phys. Lett., 78 (2001), pp. 1134-1136.
[Zhu2002b]
Zhu, W.J., Ma, T.P., Tamagawa, T., Kim, J. et Di, Y., Current transport in
metal/hafnium oxide/silicon structure, IEEE Electron Device Lett., 23 (2002),
pp. 97-99.
[Zhu2002a]
Zhu, W.J., Tamagawa, T., Gibson, M., Furukawa, T. et Ma, T.P., Effect of
Al inclusion in HfO2 on physical and electricl properties of the dielectrics, IEEE
Electron Device Lett., 23 (2002), pp. 649-651.
CHANG Youjean - Thèse
204
Annexes
Annexe A : différents mécanismes de
conduction dans les oxydes
Quelques modes de bases de la conduction dans les isolants en générale sont
présentés basés de la publication de [Hesto1986]. Nous considérons ici uniquement
la conduction par les électrons. Lorsque les électrons sont extrinsèques (c’est à dire
injecté à partir des électrodes) les mécanismes de conduction dans l’oxyde peuvent
être groupé en deux : les mécanismes dont la conduction est limitée par l’injection
d’électrons à partir des électrodes et les mécanismes dont la conduction est limitée
par le volume de l’oxyde.
Conduction limitée par les électrodes : La conduction limitée par les électrodes
lorsque celles-ci ne se constituent pas une source illimitée de porteurs. La densité
de courant est alors dépendante de celle des porteurs disponibles à l’injection. La
hauteur de barrière constituée entre l’électrode et l’oxyde que les porteurs de
l’électrode injectante doit surmonter dépend de la nature et de la qualité des
interfaces électrode/oxyde ainsi que la distribution du champ électrique et de l’effet
de la force image.
•
Emission Schottky (courant thermoïonique) : L’émission Schottky est due
à la transition des électrons au-dessus de la barrière d’énergie. Les
électrons passent de la bande de la conduction de l’électrode injectante à
la bande de conduction de l’oxyde. Ce mécanisme n’est possible que si les
porteurs ont une énergie cinétique élevée.
•
Conduction tunnel direct : Pour les oxydes très minces, d’épaisseur
inférieure à 4,5 nm avec les faibles champs électriques, les électrons dans
le semi-conducteur ont une probabilité de traverser l’oxyde par effet
tunnel direct. La barrière vue par les porteurs est trapézoïdale.
•
Conduction tunnel Fowler-Nordheim : Le courant Fowler-Nordheim est
un courant tunnel assisté par champ électrique. En présence de forts
champs électriques, les électrons passent de la bande de conduction de
l’électrode injectante à la bande de conduction de l’oxyde par effet tunnel
à travers une barrière triangulaire d’épaisseur inférieure à celle de
l’oxyde.
Conduction limitée par le volume de l’oxyde : Le courant de conduction est limité
par le volume de l’oxyde s’il dépend de caractéristiques de l’oxyde. Dans l’oxyde il
y a des piéges comme « deep-donor level » situé quelques eV et « shallow electron
traps » situé quelques 0,1 eV sous la bande de conduction. Les électrons sont piégés
CHANG Youjean - Thèse
205
Annexes
facilement dans ces défauts mais la conduction peut se produire si les électrons
traversent d’un à l’autre piége.
•
Conduction Poole-Frenkel : Dans le cas de conduction Poole-Frenkel les
électrons possèdent l’énergie thermoïonique suffisante pour passer la
barrière d’énergie. Puis ils migrent dans la bande de conduction de
l’oxyde jusqu’à le capteur par un autre défaut.
•
Conduction hopping : L’énergie des électrons est inférieure à la maximum
énergie de la barrière entre deux défauts voisins. Mais les électrons
peuvent toujours transverse par effet tunnel.
Le tableau présente les différents modes de conduction dans les films
diélectriques ci-dessous.
Conduction
thermoïonique
J = 120
⎛ φ − β s E1 / 2
m* 2
T ⋅ exp ⎜⎜ − 0
k BT
m0
⎝
Ec
⎞
⎟
⎟
⎠
Ev
Ec
(Emission Schottky)
Grille
Conduction tunnel
direct
(
Substrat
Ev
Ec
)
φ
α * sV
1/ 2
J = 1,23E ⋅ 02 ⋅ exp − α * sφ 0 ⋅ sh ⋅ (
)
1/ 2
d
4φ 0
9
SiO2
Ev
Ec
Grille
SiO2
Substrat
Ev
Ec
Conduction tunnel
J = 1,54E
Fowler-Nordheim
−6
3/ 2
⎛
α * φ0
E2
exp ⎜ − 6,7 E 6
⎜
3E
φ0
⎝
⎞
⎟
⎟
⎠
Ev
Ec
Ev
Grille
SiO2
Substrat
Ec
Conduction hopping
J=
Ev
1,9E −29 ⋅ a 2Γs
nt * E
T
Ec
Grille
CHANG Youjean - Thèse
206
SiO2
Substrat
Ev
Annexes
Ec
Conduction
Poole-Frenkel
J
α
⎞
⎛ β
⎛ φ ⎞
E ⋅ exp⎜⎜ − 0 ⎟⎟ exp⎜⎜ PF E1 / 2 ⎟⎟ ⋅ E
⎠
⎝ 2k BT
⎝ k BT ⎠
Ev
Ec
Grille
CHANG Youjean - Thèse
207
SiO2
Substrat
Ev
Annexes
Annexe B : fiche ASTM de la structure
monoclinique de l’Al2O3
CHANG Youjean - Thèse
208
Annexes
Annexe C : détermination de la densité
d’états d’interface par la méthode haute
et basse fréquence combinée
Cette méthode a été proposée par Castagné et Vapaille pour la première fois.
[Castagné1971] Ils combinent une courbe à haute fréquence et l’autre à basse
fréquence pour obtenir une valeur mesurée Cs. L’avantage, c’est que pas de besoin
de calcul théorique Cs et de mesure de profile dopant de dispositif. [Nicollian1992].
Cox
CD
Cox
CS
CD
Annexe 1 Circuits équivalents simplifiés dans la structure MOS (a) à basse fréquence (b)
à haute fréquence (d’après
[Klausmann1989] )
En utilisant le circuit équivalent de la figure on peut décrire la capacité à haute et
basse fréquence.
[C hf ]−1 = [C ox ]−1 + [C D ]−1
[C bf ]
−1
= [C ox ]
−1
⎡ C C ⎤
+⎢ D S ⎥
⎣ C D + CS ⎦
−1
Equation C. 1
On peut éliminer CD de deux équations en dessus et la capacité Cs peut être définie
avec les trois variants, Chf, Cbf, Cox comme suivante :
⎡ C / C ox
C hf / C ox ⎤
−
C s = qD it = C ox ⎢ hf
⎥
⎣1 − C hf / C ox 1 − C hf / C ox ⎦
CHANG Youjean - Thèse
209
Equation C. 2
Annexes
La Dit est obtenue en fonction de Vg . Pour savoir la position en énergie d’état
d’interface dans la bande interdite du semi-conducteur, il faut avoir la relation Ψs
(Vg ). La relation Ψs (Vg ) est connu comme « Berglund intergrale » [Raynaud1999].
Vg
Ψs (Vg ) =
⎛
C BF
∫V ⎜⎜⎝1 − C OX
FB
⎞
⎟⎟dV
⎠
Equation C. 3
Alors nous pouvons remonter à la distribution Dit (Ψs).
CHANG Youjean - Thèse
210
Annexes
Annexe D : détermination de la tension
de bande plate (VFB) par la méthode à
base de Maserjian et Vincent
Nous avons utilisée la méthode de Ghibaudo pour l’extraction de VFB.
[Ghibaudo1999] Sa méthode est basée à la méthode Maserjian [Maserjian1974] et
Vincent [Vincent1997] Dans la méthode de Maserjian on définit une fonction Y
comme suivante :
Y(Vg ) =
1 ∂C
1 ∂C S
= 3
3
C ∂Vg C S ∂Ψs
Equation D. 1
Dans la zone déplétion la capacité est décrite :
1
1
2
=
+
(Vg − VFB )
2
2
qε si N a
C
C OX
Equation D. 2
La tension de bande plate correspond à Vg sur laquelle Y est égale à un tiers de la
valeur minimum de Y dans la déplétion. Avec Equation D. 4 la valeur minimum
est :
Ymin = −
1
qε si N a
Equation D. 3
La valeur Y à la tension de bande plate est alors :
YFB = −
1
3qε si N a
CHANG Youjean - Thèse
Equation D. 4
211
FOLIO ADMINISTRATIF
THESE SOUTENUE DEVANT L'INSTITUT NATIONAL DES SCIENCES APPLIQUEES DE LYON
NOM : CHANG
DATE de SOUTENANCE : 21
juillet 2003
(avec précision du nom de jeune fille, le cas échéant)
Prénoms : Youjean
TITRE : Etude
de caractérisation de matériaux diélectriques de grille à forte permittivité pour les technologies
CMOS ultimes
NATURE : Doctorat
Numéro d'ordre : 03
Formation doctorale : Dispositifs
de l’Electronique Intégrée
Cote B.I.U. - Lyon : T 50/210/19
/
et
bis
ISAL
CLASSE :
This thesis presented the study of new gate dielectric materials with high permittivity ("high-k")
for their integration into gate insulator of ultimate CMOS technology. Indeed, the aggressive
miniaturization of the devices micro-electronics comes up today against the limits of SiO2 and will impose in
the term of 2 or 3 years, its replacement by an insulator with higher permittivity, which constitutes a true
technological rupture. Among the materials the most promising candidates, Al2O3 ("modeste–k"), HfO2
("high-k") and SrTiO3 ("very high-k") represent potential solutions with respectively short, medium and
long term. The principal problem of this integration is to reach equivalent oxide thickness (EOT) lower than
1 nm while maintaining leakage currents acceptable for the applications considered. The blocking points are
in the technological compatibility of these materials, their thermodynamic stability, the control of the
interfacial layer and its electrical properties. Thin dielectric films studied in this work has been deposited by
two industrial techniques, atomic layer chemical vapour deposition (ALD) for Al2O3 and HfO2 or liquid
injection metal organic chemical vapour deposition (MOCVD) for SrTiO3. We proved the very good
thermal stability of Al2O3 which preserves an amorphous character up to annealing temperature higher to
800°C. A transition layer, mainly made up of SiO2 or (and) silicate, is observed at the interface between
Al2O3 and Si. This layer is formed during the deposition and thermal treatments after deposition and it
depends strongly on the preparation of the substrate before deposition. A similar behavior is observed for
HfO2. We also show that the electrical properties of these materials (dielectric constant, EOT, flat band
voltage, charges in oxide, interface density state) change in function of dielectric film thickness, surface
preparation, or of annealing conditions. With comparable EOT, we obtain leakage currents lower than SiO2
for the three types of materials. The detailed analysis of the electrical parameters show that the principal
challenges lie in the control of the interfacial layer and the reduction of the charges and interface state
density which are proved to be currently one of the principal sources of mobility degradation.
RESUME :
gate dielectric, dielectric materials, high-κ, Al2O3, HfO2, SrTiO3, ALD, liquid injection
MOCVD, interfacial layer, TEM, FTIR, I-V, C-V
KEY-WORDS :
Laboratoire (s) de recherches : Laboratoire
Directeur de thèse : Frédérique
de Physique de la Matière – UMR CNRS 5511
DUCROQUET
Président de jury :
Gérard GUILLOT, Professeur
Composition du jury :
Jean-Luc AUTRAN, Professeur, Rapporteur
Catherine DUBOURDIEU, CR CNRS, Rapporteur
Frédérique DUCROQUET, CR CNRS, Directeur de thèse
Guy HOLLINGER, DR CNRS, Examinateur
François MARTIN, Ingénieur CEA, Examinateur
FOLIO ADMINISTRATIF
THESE SOUTENUE DEVANT L'INSTITUT NATIONAL DES SCIENCES APPLIQUEES DE LYON
NOM : CHANG
DATE de SOUTENANCE : 21
juillet 2003
(avec précision du nom de jeune fille, le cas échéant)
Prénoms : Youjean
TITRE : Etude
de caractérisation de matériaux diélectriques de grille à forte permittivité pour les technologies
CMOS ultimes
NATURE : Doctorat
Numéro d'ordre : 03
Formation doctorale : Dispositifs
de l’Electronique Intégrée
Cote B.I.U. - Lyon : T 50/210/19
/
et
bis
ISAL
CLASSE :
RESUME : Le travail présenté dans ce manuscrit concerne l'étude de nouveaux matériaux diélectriques à forte
permittivité ("high-k") en vue de leur intégration comme isolant de grille dans les technologies CMOS ultimes. En
effet, la miniaturisation agressive des dispositifs microélectroniques se heurte aujourd'hui aux limites du SiO2 et
imposera à échéance de 2 ou 3 ans, son remplacement par un isolant à constante diélectrique plus élevée, ce qui
constitue une véritable rupture technologique. Parmi les matériaux candidats les plus prometteurs, Al2O3 ("modeste–
k"), HfO2 ("high-k") et SrTiO3 ("very high-k"), représentent des solutions potentielles à respectivement court, moyen
et long terme. Le principal enjeu de cette intégration est d'atteindre des épaisseurs équivalentes d'oxyde (EOT)
inférieures à 1 nm tout en maintenant des courants de fuite acceptables pour les applications envisagées. Les points
bloquants se situent dans la comptabilité technologique de ces matériaux, leur stabilité thermodynamique, la maîtrise
de la couche interfaciale et sa qualité électrique. Les diélectriques en film mince étudiés dans ce travail ont été élaborés
par deux techniques de dépôt de type industriel, les dépôts chimiques en phase vapeur par couche atomique (ALD)
pour Al2O3 et HfO2, ou aux organo-métalliques (MOCVD) à injection, pour SrTiO3. Nous mettons en évidence la très
bonne stabilité thermique de Al2O3, qui conserve un caractère amorphe pour des températures de recuits supérieures à
800°C. Une couche de transition, principalement constituée de SiO2 ou (et) de silicate, est observée à l'interface avec le
substrat de Si. Celle-ci se forme pendant le dépôt et les traitements thermiques ultérieurs et dépend fortement de la
préparation du substrat avant dépôt. Un comportement similaire est observé pour HfO2. Nous montrons également
que les propriétés électriques de ces matériaux (constante diélectrique, EOT, tension de bande plate, charges dans
l'oxyde, densité d'états d'interface) évoluent en fonction de l'épaisseur du film diélectrique, de la préparation de
surface, ou encore des conditions de recuits. A EOT comparables, nous obtenons des courants de fuite inférieurs à SiO2
pour les trois types de matériaux. L'analyse détaillée des paramètres électriques montrent que les principaux
challenges à relever résident dans la maîtrise de la couche interfaciale et dans la réduction des charges et états
d'interface qui s'avère être actuellement l'une des principales sources de dégradation de la mobilité.
diélectrique de grille, matériaux à forte permittivité, high-κ, Al2O3, HfO2, SrTiO3, ALD,
MOCVD à injection, couche interfaciale, TEM, FTIR, I-V, C-V
MOTS-CLES :
Laboratoire (s) de recherches : Laboratoire
Directeur de thèse : Frédérique
de Physique de la Matière – UMR CNRS 5511
DUCROQUET
Président de jury :
Gérard GUILLOT, Professeur
Composition du jury :
Jean-Luc AUTRAN, Professeur, Rapporteur
Catherine DUBOURDIEU, CR CNRS, Rapporteur
Frédérique DUCROQUET, CR CNRS, Directeur de thèse
Guy HOLLINGER, DR CNRS, Examinateur
François MARTIN, Ingénieur CEA, Examinateur

Documents pareils