Rapport d`activité IMNP Marseille 2002-2006
Transcription
Rapport d`activité IMNP Marseille 2002-2006
Rapport d’activité 2002 – 2006 UMR 6122 TECSEN UMR 6137 L2MP Projet d’Institut IMNP ν 2006 Centre National de la Recherche Scientifique Université Paul Cézanne Université de Provence Université Sud Toulon Var SEPTEMBRE TECSEN UMR CNRS 6122 Université Paul Cézanne Aix-Marseille III Directeur : Bernard Pichaud adresse administrative : Faculté des Sciences et Techniques de Saint Jérôme Case 262 Avenue Normandie Niemen 13397 Marseille Cedex 20 (France) tel. +33 (0) 4 91 28 83 11 fax + 33 (0) 4 91 28 27 93 mel [email protected] web www.umr-tecsen.fr L2MP UMR CNRS 6137 Université Paul Cézanne Aix-Marseille III Université de Provence Université Sud Toulon-Var Directeur : Rachid Bouchakour adresse administrative : Faculté des Sciences et Techniques de Saint Jérôme Case 142 Avenue Normandie Niemen 13397 Marseille Cedex 20 (France) tel. +33 (0) 4 91 28 83 13 fax + 33 (0) 4 91 28 87 75 mel [email protected] web www.l2mp.fr Sommaire 1. PRESENTATION DU PROJET D’INSTITUT Introduction................................................................................. Implantation géographique ............................................................ Fonctionnement des UMR 6122 et 6137 ........................................... Organigrammes des UMR 6122 et 6137 ........................................... Organigramme de l’Institut (projet 2008) ......................................... Ressources humaines .................................................................... Ressources financières .................................................................. 2. BILAN SCIENTIFIQUE 5 7 9 10 12 15 17 33 35 LE DEPARTEMENT MATERIAUX ET NANOSCIENCES Equipe Réactivité et diffusion aux interfaces ..................................... Equipe Microstructures de croissance auto-organisées........................ Equipe Nanostructuration............................................................... Equipe Nanostructures semi-conductrices épitaxiées .......................... Equipe Contraintes mécaniques dans les objets de petites dimensions .. Equipe Défauts étendus : structure et thermodynamique.................... Equipe Magnétisme ....................................................................... Equipe Théorie, modélisation, simulation.......................................... 39 45 51 57 61 67 73 77 LE DEPARTEMENT MICRO ET NANO ELECTRONIQUE Equipe Dispositifs ultimes sur silicium .............................................. Equipe Mémoires .......................................................................... Equipe Conception de circuits intégrés ............................................. Equipe Microcapteurs .................................................................... Equipe Composants pour l’optoélectronique et le photovoltaïque.......... Equipe Signaux et systèmes ........................................................... Chercheur individuel : B. Vidal........................................................ 81 87 93 99 105 111 115 FAITS MARQUANTS Croissance de films de Ni2Si contrôlée par l’interface ......................... Rôle-clé de la pesanteur dans la transition colonnaire-équiaxe............. Assemblages supra-moléculaires de phtalocyanines halogénées par ponts hydrogène ..................................................................... Transistors à nanocristaux Ge......................................................... Détermination directe de déformations locales par diffraction X cohérente Etude relativistique de l’influence des conditions d’acquisition du MET sur le spectre ELNES d’un système uniaxial ...................................... Anisotropie magnétique du composé Zn1-xOCox, un semiconducteur Magnétique pour la spintronique ..................................................... Pseudogap dans les cuprates dopés aux électrons ............................. Transport quantique dans les nanotransistors – Approche NEGF .......... Vers l’intégration de condensateurs 3D dans les cellules mémoires FeRAM Système de communication faible coût CMOS à 2,45 GHz ................... Bicouches thermochromes VO2-CeO2 pour l’optoélectronique infrarouge Cartographies de vitesses de recombinaisons de surface des porteurs minoritaires dans le silicium ......................................... DEVELOPPEMENT DE PLATES-FORMES Plate-forme ASTEP........................................................................ Expérience ROSETTA .................................................................... CIM-PACA : plafe-forme Caractérisation ........................................... CIM-PACA : plate-forme Conception, projet SSCO ............................. CIM-PACA : plate-forme MicroPacks, projets L2MP ............................. Plate-forme de Caractérisation Interuniversitaire-CNRS Marseille Nord.. 117 119 120 121 122 123 124 125 126 127 128 129 130 131 133 135 136 137 138 139 140 3. PROSPECTIVE Eléments généraux ....................................................................... Futurs projets du Département Matériaux et Nanosciences ................. Futurs projets du Département Micro et Nano Electronique ................. 4. PRODUCTIONS Distinctions.................................................................................. Publications • articles et communications publiés dans des revues à comité de lecture • articles publiés et facteurs d’impact des revues............................... • ouvrages : auteurs, édition scientifique, chapitres invités ................. Communications • conférences invitées ................................................................... • communications publiées dans des comptes-rendus édités ............... • communications orales ou par posters ........................................... Thèses ....................................................................................... Habilitations à diriger des recherches............................................... Brevets ....................................................................................... Organisation de congrès ................................................................ 5. RELATIONS SCIENTIFIQUES Réseaux de coopération internationale ............................................. Projets et contrats de recherche ..................................................... • Europe...................................................................................... • FEDER ...................................................................................... • France (ANR, ACI, Etat, Organismes publics, Collectivités) ............... • Contrats industriels .................................................................... Communication et vulgarisation ...................................................... 141 143 144 149 153 155 156 195 202 205 210 227 263 268 269 271 273 275 276 276 276 277 281 284 6. HYGIENE ET SECURITE 289 7. FORMATION PERMANENTE 295 RAPPORT D’ACTIVITE 2002 - 2006 1. Présentation Introduction Implantation géographique Fonctionnement des UMR 6122 et 6137 Organigrammes Ressources humaines Ressources financières 5 RAPPORT D’ACTIVITE 2002 - 2006 L e L2MP et TECSEN sont des unités nées en 2000 de la restructuration de diverses UMR, UPR ou équipes d’accueil sur Marseille Nord et Toulon. Ces deux unités ont depuis évolué en parallèle : la première (130 permanents) dépendant du Département Sciences Physiques et Mathématiques du CNRS avec une orientation micro-nanoélectronique et nanosciences, la seconde (40 permanents) dépendant du Département Sciences Chimiques et plus focalisée sur la science des matériaux avec une évolution vers les échelles nanométriques. Nonobstant leur différence de taille et l’étendue différente des thématiques abordées, ces unités présentent de nombreux points communs : • • • • • • une communauté « matériaux » forte, une large pluridisciplinarité allant de la chimie des matériaux à la microélectronique et nanoélectronique en passant par la physique, une interaction forte des deux unités avec le tissu industriel local : projets focalisés et centre commun de recherche avec les grands industriels de la microélectronique STMicroelectronics et ATMEL, une implication des deux unités dans les actions régionales de mutualisation : plateformes CIM-PACA (caractérisation, micro-packaging, conception), participation au pôle de compétitivité mondial SCS (Solutions Communicantes Sécurisées), une participation des deux unités au projet d’institut Carnot sur Marseille Nord, une appartenance des deux unités au Département Mathématiques, Physique, Planète et Univers (MPPU) du CNRS depuis 2005. Cet ensemble d’éléments a favorisé une réflexion au sein des deux laboratoires sur la possibilité d’une structuration nouvelle dans la perspective du prochain plan quadriennal 2008-2011. Après une étape de restructuration scientifique, les deux laboratoires proposent leur regroupement au sein d’une nouvelle unité associée au CNRS : l’Institut des Matériaux et Nanoélectronique de Provence (IMNP). Cette structure permettra à la complémentarité des deux unités fondatrices de s’exprimer pleinement. Si l’orientation scientifique générale reste fondée sur la nanoélectronique, la microélectronique et les matériaux associés, les travaux porteront aussi sur la nanostructuration, l’élaboration (croissance) et l’étude d’objets nanométriques, de nanomatériaux pour le photovoltaïque et de nouveaux matériaux pour l’énergie (fission et fusion). Ce regroupement donnera à l’axe Marseille Nord-Toulon une meilleure visibilité nationale et internationale puisqu’il concentrera tout le potentiel et l’activité matériaux et micronanoélectronique de cet axe. Les effets de mutualisation en terme d’animation scientifique, de mise en commun de plateaux techniques, de gestion ou de communication font également partie des résultats attendus de la création de l’IMNP. Au cours de l’opération de regroupement et dans un souci de cohérence, quatre enseignantschercheurs de l’Institut Fresnel sont venus renforcer le TECSEN et ont permis l’émergence d’une nouvelle équipe plus « composants » centrée sur le photovoltaïque et l’optoélectronique. Une équipe « signaux et systèmes » de l’Université Sud Toulon-Var est aussi venue renforcer le Département Micro-Nanoélectronique du L2MP. Dans le projet de l’IMNP, les équipes provenant du L2MP et de TECSEN se sont réparties en deux Départements : Matériaux et Nanosciences et MicroNanoélectronique. A l’exception de quelques chercheurs, les équipes de chaque laboratoire se sont positionnées tout naturellement dans l’organigramme de l’IMNP. A terme, une mise en cohérence globale sera réalisée privilégiant la logique scientifique. La notion d’équipe projet 1 permettra à la fois d’accélérer ce processus et d’évaluer de nouvelles opportunités scientifiques. 1 Equipe projet : possibilité de regroupement de chercheurs, enseignants-chercheurs, ingénieurs et techniciens, provenant d’équipes et de départements différents, permettant de structurer une activité de recherche sur un projet transversal ou d’intérêt structurel pour l’institut. PRESENTATION DU PROJET 7 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Dans le document qui suit, le lecteur trouvera les organigrammes des deux laboratoires fondateurs, puis un dossier scientifique qui expose les principaux résultats, une liste des publications et des productions, et enfin une prospective. Ce dossier a été constitué délibérément comme celui d’une structure unique : l’IMNP, tout simplement parce que le processus de fusion des laboratoires se déroulant très naturellement, l’identité de cet institut finit par dominer les anciennes structures. Pour le lecteur qui désirerait considérer les unités fondatrices et leur production scientifique, il suffira d’utiliser les organigrammes pour identifier les différentes équipes qui les constituaient. Par ailleurs, les listes de publications et autres productions ont été compilées de façon à ce que pour un type de production et une année donnée, l’activité du L2MP soit placée dans la première partie de la liste et celle de TECSEN dans la deuxième partie. Rachid Bouchakour Directeur du L2MP 8 Bernard Pichaud Directeur de TECSEN INTRODUCTION Implantation géographique des Equipes Marseille Toulon Université Paul Cézanne Université Sud Toulon Var Campus de Saint-Jérôme Campus de La Garde Université de Provence ISEN Campus de Château-Gombert (IMT) Conception de circuits intégrés Dispositifs ultimes sur silicium Nanostructuration Réactivité et diffusion aux interfaces Microstructures de croissance auto-organisées Nanostructuration Nanostructures semi-conductrices épitaxiés Contraintes mécaniques dans les objets de petites dimensions Défauts étendus : structure et thermodynamique Magnétisme Théorie, modélisation et simulation Microcapteurs Composants pour l’optoélectronique et le photovoltaïque Conception de circuits intégrés Mémoires Université de Provence Campus de Château-Gombert (Irphe) Dispositifs ultimes sur silicium Théorie, modélisation et simulation Mémoires Microcapteurs Nanostructuration Signaux et systèmes 9| Conseil de Direction (Bureau) Conseil de laboratoire Bernard PICHAUD, Professeur, Directeur de l’UMR Olivier THOMAS Professeur Jean-Marc ROUSSEL Maître de Conférences Suppl. Marc GAILHANOU Ingénieur de recherche CNRS Jany THIBAULT Directeur de Recherche Jacques ROGEZ Directeur de Recherche Suppl. Sharazade HASSAM Maître de Conférences Ludovic ESCOUBAS Maître de Conférences Marcel Pasquinelli Professeur Suppl. Olivier PALAIS Maître de Conférences Membre de droit Bernard PICHAUD, Directeur de l’UMR Membres élus représentants du collège A Jacques ROGEZ, Directeur de Recherche Olivier THOMAS, Professeur représentants du collège B Vanessa COULET, Chargé de recherche Laurent OTTAVIANI, Maître de Conférences représentants du collège ITA-IATOS Georges MIKAELIAN, Technicien IATOS Thierry De Perre, Technicien CNRS Membres nommés Jany THIBAULT, Directeur de Recherche Stéphane LABAT, Maître de Conférences Olivier PALAIS, Maître de Conférences Aimen GHERIBI, Doctorant Les instances de fonctionnement de TECSEN |10 Direction Bernard PICHAUD Direction Rachid BOUCHAKOUR Jean-Luc AUTRAN, Professeur de l’Université de Provence Claire BERGMAN Directrice de recherche CNRS Bernard BILLIA, Directeur de recherche CNRS Rachid BOUCHAKOUR, Directeur de l’UMR Jean-Raymond GAVARRI Professeur de l’Université de Toulon Didier GOGUENHEIM Professeur ISEN Michel LANNOO, Directeur de recherche CNRS Louis PORTE Professeur de l’Université Paul Cézanne Conseil scientifique 11| Khalifa AGUIR, Professeur Equipe Microcapteurs Jean-Luc AUTRAN, Professeur Directeur Dpt Micro Nanoélectronique Equipe Dispositifs Ultimes sur Silicium Hervé BARTHELEMY, Professeur Equipe Conception de Circuits Intégrés Claire BERGMAN, Directrice de recherche Directrice Adjointe Dpt Matériaux Nanosciences Equipe Réactivité et Diffusion aux Interfaces Isabelle BERBEZIER Directrice de recherche Equipe projet Nanostructures Semiconductrices Epitaxiées Bernard BILLIA, Directeur de recherche Equipe Microstructures de Croissance Auto-organisées Rachid BOUCHAKOUR, Professeur Directeur de l’UMR Jean-Marc DEBIERRE, Professeur Equipe Théorie, Modélisation, Simulation Jean-Raymond GAVARRI, Professeur Didier GOGUENHEIM, Professeur ISEN Directeur Adjoint Dpt Micro Nanoélectronique Dominique MANGELINCK, Chargé de recherche Equipe Réactivité et Diffusion aux Interfaces Pascal MASSON, Professeur Equipe Mémoires Christophe MULLER, Professeur Michel LANNOO, Directeur de recherche Louis PORTE, Professeur Directeur Dpt Matériaux et Nanosciences Equipe Nanostructuration Anatoli STEPANOV, Professeur Equipe Magnétisme Jean-Marc THEMLIN, Professeur Equipe Nanostructuration Conseil de laboratoire Membre de droit Rachid BOUCHAKOUR, Directeur de l’UMR Membres élus représentants du collège A Khalifa AGUIR, Professeur Hervé BARTHELEMY, Professeur représentants du collège B Jérôme PARET, Chargé de recherche Magali PUTERO, Maître de conférences Vincent OISON, Maître de conférences représentants du collège ITA-IATOS Claude ARNOLD, Ingénieur de recherche Evelyne SANTACROCE, Assistante ingénieure représentants du collège des non permanents Mathieu KOUDIA, Doctorant Cédric WEISS, Doctorant Membres nommés Jean-Luc AUTRAN, Professeur Louis PORTE, Professeur (suppl. C. BERGMAN, Directrice de recherche) Bernard BILLIA, Directeur de recherche Christophe MULLER, Professeur Jean GAUBERT, Maître de conférences Lionel PATRONE, Chargé de recherche Cathy PAITEL, Ingénieure d’études Arnaud REGNIER, Doctorant Les instances de fonctionnement du L2MP Bureau Direction – Bernard Pichaud Conseil de Direction Conseil de laboratoire Physique et chimie des défauts et impuretés dans les semi-conducteurs Relation entre contrainte, structure et composition chimique interfaciale Responsable : Santo Martinuzzi Responsables : Olivier Thomas, Jany Thibault Thermodynamique de systèmes condensés dans des conditions extrêmes Responsable : Jacques Rogez Soutien technique commun TECSEN s’est restructuré en Juin 2006 : l’arrivée de 4 enseignants-chercheurs de l’Institut Fresnel a permis l’émergence d’une équipe « composants », les deux autres équipes étant plus spécifiquement « matériaux » 2006-2007 Direction – Bernard Pichaud Conseil de Direction Conseil de laboratoire Défauts étendus et nano-objets : structure et thermodynamique Contraintes mécaniques dans des objets de petites dimensions Responsable : Bernard Pichaud, Jany Thibault Responsables : Olivier Thomas Composants pour l’optoélectronique et le photovoltaïque Responsable : Ludovic Escoubas Soutien technique commun Organigramme de TECSEN (UMR 6122) |12 2004-2006 Bureau Conseil scientifique Conseil de laboratoire DEPARTEMENT MATERIAUX ET NANOSCIENCES Directeur : Louis Porte – Directrice Adjointe : Claire Bergman Réactivité et Diffusion aux Interfaces Responsable : Claire Bergman Micro et Nano-Structures Auto-Organisées Responsable : Bernard Billia Nanostructuration Magnétisme Responsables : Louis Porte, Jean-Marc Themlin Responsable : Anatoli Stepanov Théorie, Modélisation et Simulation Responsable : Jean-Marc Debierre DEPARTEMENT MICRO ET NANO-ELECTRONIQUE Directeur : Jean-Luc Autran – Directeur Adjoint : Didier Goguenheim Dispositifs Ultimes sur Silicium Responsable : Jean-Luc Autran Mémoires Responsables : Rachid Bouchakour, Pascal Masson Conception de Circuits Intégrés Microcapteurs Responsable : Hervé Barthélemy Responsable : Khalifa Aguir Administration - Secrétariat Gestion financière Informatique - Réseau et Systèmes Information, Communication, Web Effectif 223 personnes 77 enseignants-chercheurs des Universités Paul Cézanne, Provence, Sud Toulon Var • 4 professeurs émérites • 13 chercheurs CNRS • 6 enseignants-chercheurs ISEN 23 ingénieurs et techniciens • 3 contractuels • 97 post-doc, ATER et doctorants 13| LABORATOIRE MATERIAUX et MICROELECTRONIQUE DE PROVENCE UMR CNRS 6137 – Universités Paul Cézanne, de Provence et Sud Toulon Var Organigramme du L2MP (UMR 6137) Direction – Rachid Bouchakour Bureau Conseil scientifique Conseil de laboratoire DEPARTEMENT M ATERIAUX ET NANOSCIENCE S Directeur : Louis Porte – Directrice Adjointe : Claire Bergman Réactivité et Diffusion aux Interfaces Responsable : Dominique Mangelinck Microstructures de Croissance Auto-Organisées Responsable : Bernard Billia Nanostructures Semiconductrices Epitaxiées Nanostructuration Responsable : Isabelle Berbezier Responsables : Louis Porte, Jean-Marc Themlin Contraintes Mécaniques dans des Objets de Petites Dimensions Responsable : Olivier Thomas Responsables : Bernard Pichaud, Jany Thibault Magnétisme Théorie, Modélisation et Simulation Responsable : Anatoli Stepanov Défauts Etendus et Nano-Objets Responsable : Jean-Marc Debierre DEPARTEMENT MICRO ET NANO - ELE CTRONIQUE Directeur : Jean-Luc Autran – Directeur Adjoint : Didier Goguenheim Dispositifs Ultimes sur Silicium Responsable : Jean-Luc Autran Mémoires Responsables : Rachid Bouchakour, Pascal Masson Conception de Circuits Intégrés Microcapteurs Responsable : Hervé Barthélemy Responsable : Khalifa Aguir Composants pour l’Optoélectronique et le Photovoltaïque Responsable : Ludovic Escoubas Responsable : Claude Jauffret Signaux et Systèmes Administration - Secrétariat Gestion financière Informatique - Réseau et Systèmes Information, Communication, Web 15| Effectif 293 personnes 105 enseignants-chercheurs des Universités Paul Cézanne, Provence, Sud Toulon Var • 6 professeurs émérites • 18 chercheurs CNRS • 6 enseignants-chercheurs ISEN 5 enseignants-chercheurs associés • 31 ingénieurs et techniciens • 2 contractuels • 120 post-doc, ATER et doctorants Organigramme de l’Institut (projet 2008) Direction – Rachid Bouchakour RAPPORT D’ACTIVITE Ressources humaines 2002 - 2006 (au 30.9.06) Enseignement supérieur CNRS ISEN Corps Professeurs Professeurs émérites Maîtres de conférences UPCAM UP USTV ECM ∑ 22 7 5 1 35 2 38 4 19 6 12 1 70 6 EC Ecole d'ingénieurs 2 EC Associés 2 1 6 5 Directeurs de recherche 9 9 Chargés de recherche 9 9 2 9,3 14,3 1 7 Ingénieurs 3 Techniciens 7 0,66 Pers. tech. admin. CDD 1 1 ∑ 75 29,66 25 2 Doctorants 33 46 13 4 (autres Universités) 0,9 16,5 2 34,3 6,9 172,8 UPCAM : Universié Paul Cézanne, Aix-Marseille III UP : Université de Provence, Aix-Marseille I USTV : Université Sud Toulon Var ECM : Ecole Centrale de Marseille ISEN : Institut Supérieur d’Electronique et du Numérique PRESENTATION DU PROJET 17 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE 4% 20% 44% UPCAM 1% UP USTV ECM CNRS ISEN 14% 17% Personnel selon l’institution d’appartenance 6% 6% 25% 4% 4% Professeurs Professeurs émérites Maîtres de conférences EC Ecole d'ingénieurs ISEN EC Associés 4% Directeurs de recherche Chargés de recherche 51% Chercheurs et enseignants-chercheurs 18% Chercheurs & enseignantschercheurs ITA-ITARF 82% Chercheurs & enseignants-chercheurs / Ingénieurs et techniciens 18 RESSOURCES HUMAINES RAPPORT D’ACTIVITE 2002 - 2006 Effectifs des équipes de recherche (EnseignantsChercheurs et Chercheurs) Equipes Responsables Effectifs Réactivité et diffusion aux interfaces C. Bergman, D. Mangelinck 12 Microstructures de croissance auto-organisées B. Billia Nanostructuration L. Porte, J.M. Themlin 12 Nanostructures semiconductrices épitaxiées I. Berbezier 4 Contraintes mécaniques dans les objets de petites dimensions O. Thomas 6 Défauts étendus et nano-objets : structure et thermodynamique B. Pichaud, J. Thibault 15 Magnétisme A. Stepanov 4 Théorie, modélisation, simulation J.M. Debierre 8 Dispositifs ultimes sur silicium J.L. Autran 8 Mémoires R. Bouchakour, P. Masson 11 Conception de circuits intégrés H. Barthélemy 12 Microcapteurs K. Aguir 17 Composants pour l'optoélectronique et le photovoltaïque L. Escoubas 9 Signaux et systèmes C. Jauffret 7 Chercheur individuel - B. Vidal 1 Total EC et Chercheurs (non compris Professeurs Emerites et Enseignants-Chercheurs Associés) PRESENTATION 4 DU 130 PROJET 19 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Chercheurs CNRS BASSANI Franck BERBEZIER Isabelle BERGMAN Claire BERNARDINI Jean BILLIA Bernard CHAMARD Virginie COULET Marie-Vanessa LANCIN Maryse LANNOO Michel MANGELINCK Dominique MANGELINCK-NOEL Nathalie MUNTEANU Daniela PATRONE Lionel PORTAVOCE Alain RADTKE Guillaume ROGEZ Jacques THIBAULT-PENISSON Jany VIDAL Bernard 20 CR1 DR2 DR2 DR1 DR2 CR2 CR1 DR2 DRCE CR1 CR2 CR2 CR1 CR2 CR2 DR2 DR2 DR2 RESSOURCES section CN 06 06 15 15 05 05 15 05 06 15 15 08 05 15 15 15 05 08 HUMAINES RAPPORT D’ACTIVITE 2002 - 2006 Enseignants-chercheurs des Universités ABEL Mathieu AGUIR Khalifa ALFONSO Claude AUTRAN Jean-Luc AZIZA Hassen BARTHELEMY Hervé BENDAHAN Marc BERGEON Nathalie BOCQUET Frank BONNET Georges BOUCHAKOUR Rachid BOURDEL Sylvain BOZZO-ESCOUBAS Stéphanie BRUTIN David BURLE Nelly CANET Pierre CARCHANO Hervé CASTELLANI-COULIE Karine CAVASSILAS Nicolas CAVASSILAS Jean-François CESARI Claude CHABRIEL Gilles CHARAI Ahmed CHARRIN Luc CHEVALLIER Virginie CONTARET Thierry DARE Anne-Marie DEBIERRE Jean-Marc DEHAESE Nicolas DELERUYELLE Damien DUFAZA Christian DUGAS Jacques DUMONT-NICOLAS Myriam ESCOUBAS Ludovic FAVRE Luc FERRANDIS Philippe FLORY François FREMY Marie-Angèle GARBAIL-PICON Bénédicte GAUBERT Jean GAVARRI Jean-Raymond GHORAYEB André GIOVANELLI Luca GIRARDEAUX Christophe GONTIER-MOYA Eliette GUERIN Rahma GUICHET Christophe GUINNETON Frédéric HASSAM Schahrazade HAYN Roland JACOLIN Christian JAUFFRET Claude KSARI Younal LABAT Stéphane LAFFONT Romain LALANDE Frédéric LAMBERT-MAURIAT Caroline LAUQUE Pascal LEROUX Christine LOLLMAN Dave MCF PR1 MCF PR2 MCF PR2 MCF MCF MCF PREM PR1 MCF MCF MCF MCF PR2 PREX MCF MCF PREM PREM MCF PR2 PR1 MCF MCF MCF PR1 MCF MCF PR2 PR2 MCF MCF MCF MCF PR1 MCF MCF MCF PREX PR2 MCF PR2 PREM MCF MCF MCF MCF PR2 PR1 PR1 MCF MCF MCF PR2 MCF MCF PR2 MCF PRESENTATION section CNU 28 62 33 28 63 63 63 28 33 63 63 63 63 62 28 63 63 63 28 61 28 63 33 33 28 63 28 28 63 63 63 63 33 63 28 28 63 28 63 63 31 28 28 33 33 28 30 31 33 28 63 61 28 28 63 63 63 63 28 63 DU PROJET Etablissement UPCAM UPCAM UPCAM UP UP UP UPCAM UPCAM UPCAM USTV UP UPCAM UPCAM UPCAM UPCAM UP UPCAM UPCAM UP USTV USTV USTV UPCAM UPCAM USTV UPCAM UP UPCAM UPCAM UP UP UPCAM UPCAM EGIM UPCAM USTV EGIM USTV USTV UP USTV UPCAM UP UPCAM UPCAM UPCAM UPCAM USTV UPCAM UPCAM UPCAM USTV UPCAM UPCAM UP UPCAM UPCAM UPCAM USTV UPCAM 21 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE LOMBARDO Pierre MADIGOU Véronique MARFAING Jannie MARTINUZZI Santo MASSON Pascal MEILLERE Stéphane MERLEN Alexandre MICHELINI Fabienne MICOLAU Gilles MOSSOYAN Jean-Charles MOSSOYAN Mireille MOYA Fernand MOYA Gérard MULLER Christophe NGUYEN-THI Henri NIHOUL Geneviève NONY Laurent OISON Vincent OTTAVIANI Laurent PALAIS Olivier PANNIER Philippe PASQUINELLI Marcel PEREZ Annie PEREZ-PIGNOL Annie-Claude PERICHAUD Isabelle PERRIN-PELLEGRINO Carine PICHAUD Bernard PORTAL Jean-Michel PORTE Louis PUTERO Magali RAHAJANDRAIBE Wenceslas RAYMOND Laurent RECORD Marie-Christine REGNIER Sonia REGULA Gabrielle ROLLAND Andrée ROUSSEL Jean-Marc SCHÄFER Steffen SEGUIN Jean-Luc SIESSE-MOYA Denise SIMON Jean-Jacques STEPANOV Anatoli TEXIER Michael THEMLIN Jean-Marc THOMAS Olivier TORCHIO Philippe TURQUAT Christian VALMALETTE Jean-Christophe VILLAIN Sylvie XERRI Bernard ZAID Lakhdar 22 MCF MCF PR1 PREM PR2 MCF MCF MCF MCF MCF MCF PR1 PR1 PR2 MCF PREM MCF MCF MCF MCF MCF PR2 MCF MCF MCF MCF PREX MCF PR1 MCF MCF MCF PR2 MCF MCF PR1 MCF MCF PR2 MCF MCF PR1 MCF PR2 PR1 MCF MCF PR2 MCF MCF MCF RESSOURCES 28 28 28 63 63 63 28 28 63 32 32 33 28 28 28 28 28 28 63 63 63 63 61 63 28 28 28 63 28 28 63 28 33 28 28 33 28 28 63 28 63 28 28 28 28 63 31 28 28 61 63 HUMAINES UP USTV UPCAM UPCAM UP UP USTV UP UPCAM UP UP UPCAM UPCAM USTV UPCAM USTV UPCAM UPCAM UPCAM UPCAM UP UPCAM UP USTV UPCAM UPCAM UPCAM UP UPCAM UPCAM UP UP UPCAM UPCAM UPCAM UPCAM UPCAM UP UPCAM UPCAM UPCAM UPCAM UPCAM UP UPCAM UPCAM USTV USTV USTV USTV UP RAPPORT D’ACTIVITE 2002 - 2006 Enseignants-chercheurs ISEN BRAVAIX Alain GOGUENHEIM Didier KUSSENER Edith TETELIN Claude COURMONTAGNE Philippe ROBERT-INACIO Frédérique PRESENTATION DU PROJET 23 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Enseignants-chercheurs associés CATHALA Jean-Claude IMBERT Bruno MATHIEU Jean-Marie SAIKALY Wahib NIGRELLI Emmanuelle MCF MCF MCF IGR (*) MCF UP UP UPCAM UPCAM USTV (*) IGR rattaché à un service commun de l'UPCAM, associé à l'Institut pour la recherche 24 RESSOURCES HUMAINES RAPPORT D’ACTIVITE 2002 - 2006 Ingénieurs et techniciens CNRS ARNOLD Claude BENIGNI Pierre CATALIN Daniel DALLAS Jean-Pierre DE PERRE Thierry DUPLOYER Benjamin FOUQUE Yvonne FURTER Jean-Jacques GAILHANOU Marc HOROYAN Christiane MONTEFINESE Ghislaine PAITEL Cathy PONCIN Isabelle RONDA Antoine SANTACROCE Evelyne VERIN Francine VIALLE Marie-Thérèse IR IR AI IE TCH IE AI TCH IR TCH AJT IE TCH IR AI TCH TCH PRESENTATION DU PROJET 25 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Ingénieurs et techniciens des Universités BARRERE Jean BLIEK Ariane BORLOZ Bruno COMBES Alain GACHE Virginie GALMICHE Sandrine GUERIN Jacques GUERRINI Pierre-Marie JOLY Patrice KNEULE Christophe LECHALIER Claudette MARTINO Christian MIKAELIAN Georges MOHAMED-SAID Faïza RANDRIAMANPIANINA Bernadette SI AHMED Abderrhamane VANNI Christian WARCHOL François 26 IGR2 IGE IGR2 TCH AJT TCH CDD 0.5 IGR AGT TCH TCH AGT TCH TCH TCH CDD 0.5 TCH CDD IGR TCH TCH RESSOURCES USTV UPCAM USTV UPCAM UPCAM UP UPCAM UPCAM UP UPCAM UPCAM USTV UPCAM UP UPCAM UPCAM UPCAM UPCAM HUMAINES RAPPORT D’ACTIVITE 2002 - 2006 Ingénieurs et techniciens ISEN DUQUENOY Willy MAINARD Olivier MATHERON Régine PERONY Christine RICHARD Eric TCH TCH TCH IGR IGR PRESENTATION Q. Q. Q. Q. Q. DU 0,1 0,1 0,3 0,1 0,3 PROJET 27 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Enseignants et chercheurs accueillis à titre temporaire (durée ≥ 6 mois) AZIZA Hassen BADECHE Toufik BALDACCI Antoine BARAKEL Damien BARIL Lydia BELLINI Boris BENARCHID Youssef BERNARDINI Sandrine CASADEI Bruno CASTAGNOS Anne-Marie CASTELLANI Karine CASTELLANI Karine CAVASSILAS Nicolas CURTIL Christian DABO Yakouba DALMAS Julie DEHAESE Nicolas DELAMARE Romain DELAMARE Romain DELERUYELLE Damien GEANDIER Guillaume GIOVANELLI Luca GONZALES Gonzalo GUAINO Philippe GUIRLEO Guillaume HIDALGO Pedro ISA Michael JURCZAK Grzegorz LAMZATOUAR Abdelkebir LEANDRI Christel LOPEZ Laurent MARGALEF Aurélie MARIEMA Nicolas MAUREL Christian MELTCHAKOV Evgueni MERCIER Olivier METZ Thomas MINKEVICH Andrey NGO Kieu An PLANTARD Gaël RAHAJANDRAIBE Wenceslas RAZAFINDRAMORA Juliano SUDRE Christophe SZKUTNIK Pierre TEXIER Michael ZHOU Binghong 1 28 Statut Etablissement 1 Arrivée Départ ATER POST-DOC POST-DOC POST-DOC POST-DOC ATER POST-DOC ATER POST-DOC ATER ATER POST-DOC ATER ATER POST-DOC POST-DOC ATER ATER POST-DOC ATER POST-DOC POST-DOC Visiteur POST-DOC ATER POST-DOC ATER POST-DOC ATER ATER ATER IGR IGR ATER chercheur invité IGR IGR POST-DOC ATER ATER ATER ATER POST-DOC POST-DOC POST-DOC POST-DOC UP UPCAM UPCAM UPCAM UP USTV UPCAM UP UP USTV UP UP UP UPCAM UPCAM UP UPCAM UPCAM UPCAM USTV 03/2005 01/2002 09/2006 04/2002 10/2003 12/2005 09/2005 10/2004 11/2004 09/2003 09/2003 09/2003 09/2004 09/2001 10/2001 01/2001 04/2006 11/2005 11/2005 03/2004 10/2004 09/2005 10/2004 04/2006 11/2005 09/2002 06/2003 09/2003 07/2006 10/2003 12/2005 03/2005 09/2005 09/2003 09/2003 09/2002 01/2005 01/2006 10/2005 09/2005 09/2002 09/2002 10/2004 05/2006 02/2006 10/2005 10/2005 07/2006 CNRS Université Mexico UPCAM USTV Université Madrid USTV UPCAM UPCAM UP UP UP UP UPCAM UP UP UPCAM UPCAM UPCAM UPCAM UP UP CNRS UPCAM UPCAM UP • Université de Provence, Aix-Marseille I UPCAM • Université Paul Cézanne, Aix-Marseille III USTV • Université Sud Toulon Var RESSOURCES HUMAINES 08/2006 09/2005 11/2005 06/2006 08/2004 08/2004 08/2006 01/2003 09/2003 08/2005 08/2006 09/2006 11/2005 09/2005 08/2006 08/2006 10/2006 05/2006 09/2003 06/2004 08/2004 09/2005 11/2006 09/2006 03/2006 08/2005 09/2006 12/2006 08/2007 08/2003 09/2003 09/2005 03/2007 09/2006 09/2006 RAPPORT Doctorants D’ACTIVITE 2002 - 2006 (thèses en cours au 30.9.06) ALEXANDRE Laurent AMSALEM Patrick AYOUB Jean-Pierre BACHELET Yannick BARRAL Vincent BATTISTA Marc BECU Stéphane BENARD Cristelle BENOUDIA Mohamed BERCHE Alexandre BERGERET Emmanuel BERNIER Nicolas BONNETON Fabien BORIVENT Delphine BOSSU Germain BOUFFARON Renaud BOUTAHAR Samir CHAILLAN Fabien CHALABI Habib CHANIER Thomas CHARBOUILLOT Samuel CHEYNET DE BEAUPRE Vincent CHMIELOWSKI Radek CISMONDI Fabio COLLARD-BOVY Anne COURTADE Lorène CUBILLO Joseph DARNIS-REBEC Gaëlle DECARRE Eric DeCOULANGE Julien DEMOLLIENS Antoine DI GILIO Thierry DUBOIS Sébastien DUCOUSSO Tristan DUFAY Matthieu EBERLEIN Michel EGELS Matthieu EHOUARNE Loeizig FANAEI Amir FILLAUD Mathieu FIORIDO Tomas FOURNIGAULT Mike FRASCHINI Christophe FRONTE Daniele GHERIBI Aimen GIOT Damien GUERIN Chloé GUIGUES Fabrice HOUMMADA Khalid ILLE Adrien IMBERT Bruno JACOB Stéphanie JUENNARD Nicolas JUNG Hyejin KAMMOUNI Abdelkhalek KARMOUS Alim KHACHANE Manar Direction de thèse Début Financement A. Charai, C. Alfonso J.M. Themlin I. Berbezier H.Barthélémy J.L. Autran H. Barthélemy J.L. Autran D. Goguenheim O. Thomas, J.M. Roussel J. Rogez, M-C. Record R. Bouchakour, J. Gaubert A. Charai, F. Bocquet C. Jauffret B Billia P. Masson L. Escoubas, J.J. Simon F. Lalande C. Jauffret, P. Courmontagne K. Aguir, M. Menini R. Hayn A. Pérez R. Bouchakour J.R. Gavarri / Univ. Cracovie C. Jauffret, Xerri H. Barthélemy C. Muller H. Barthélemy G. Nihoul D. Munteanu, J.L. Autran I. Périchaud C. Muller, C. Turquat A. Bravaix O.Palais J.M. Debierre, R. Guérin J.M. Debierre, T. Frisch O. Thomas, S. Bozzo-Escoubas J. Gaubert D. Mangelinck P. Pannier H. Barthélémy K. Aguir A. Tremeau, F. Robert-Inacio C. Jauffret, P. Courmontagne A. Perez, E. Payrat J. Rogez J.L. Autran A. Bravaix H. Barthélemy D. Mangelinck A. Bravaix O. Thomas P. Masson C. Jauffret, Xerri B. Billia A. Charai, W. Saikaly I. Berbezier J.R. Gavarri, C. Muller 09/2004 10/2005 10/2004 10/2004 10/2005 10/2005 10/2003 10/2005 10/2004 10/2004 10/2004 01/2005 12/2004 10/2004 12/2005 10/2005 10/2004 04/2003 10/2004 10/2005 10/2005 09/2003 10/2003 11/2003 10/2004 10/2005 10/2005 10/2004 10/2002 10/2005 03/2006 10/2002 10/2004 11/2005 10/2004 10/2004 09/2003 10/2004 10/2003 10/2003 11/2005 10/2003 04/2003 10/2004 10/2003 03/2005 10/2005 01/2005 10/2004 10/2004 02/2006 01/2005 11/2003 12/2004 10/2003 10/2003 10/2004 COLL.TERR bourse MENRT bourse MENRT CIFRE bourse MENRT CIFRE CIFRE CIFRE All. recherche All. Moniteur INDUSTR COLL.TERR CIFRE bourse MENRT CIFRE DGA INDUSTR INDUSTR bourse MENRT bourse MENRT bourse MENRT INDUSTR ETR Région / CEA CIFRE bourse MENRT SECD bourse Région/Ent bourse MENRT ADEME CIFRE BDI Région/CNRS ADEME bourse Région/CNES bourse MENRT CIFRE INDUSTR CIFRE bourse MENRT bourse MENRT FSE CDD ST INDUSTR CIFRE All. recherche CIFRE CIFRE INDUSTR bourse MENRT CIFRE CIFRE bourse CEA/LETI Région / PME INDUSTR INDUSTR bourse MENRT ETR PRESENTATION DU PROJET 29 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE KOUDIA Mathieu LACHENAL Damien LALMI Boubekeur LEROUX Claire LOUSSIER Xavier MANGEAT Thomas MERCIER Julien MONDOT Alexandre MONESTIER Florent MOUHOUBI Samir NEHARI Karim NEY David NOVELO-PERALTA Oswaldo NOWAKOWSKI Pawel OULED KHACHROUM Toufik OUZAOUIT Khalid PARTHASARATHY Chittoord PIC David POSTEL-PELLERIN Jérémy RAGAD Henia REGNIER Arnaud REINHART Guillaume ROCA Manon ROCHE Julien RODRIGUEZ Nicolas RUDOLFF François SANTORI Agnès SATI Pascal SELLIER Manuel SERDOUK Samir SIMOLA Roberto SZCZAP Mélanie TELANDRO Vincent TINTORI Olivier TRAMONI Alexandre VERVISCH Vanessa VIDAL Vladimir WACQUEZ Romain WEISS Cédric 30 J.M. Themlin, L. Porte D. Goguenheim, A. Bravaix C. Girardeaux F. Lalande D. Munteanu F. Flory, L. Escoubas C. Dufaza J.L. Autran L. Escoubas, J.J. Simon F. Lalande M. Lannoo, J.L. Autran O. Thomas M. Dumont-Nicolas, L. Charrin J.R. Gavarri, S. Villain B. Vidal, P. Godefroy J.R. Gavarri, K. Aguir A. Bravaix D. Goguenheim, Bouchakour F. Lalande, P. Canet R. Bouchakour R. Bouchakour B. Billia F. Lalande W. Rahajandraibe C. Girardeaux A. Kaiser, E. Kussener C. Jauffret, Barrère, Chabriel A. Stepanov J.M. Portal J.L. Autran D. Mangelinck J.L. Autran E. Kussener J.L. Autran, D. Munteanu M. Lannoo, C. Tetelin M. Pasquinelli, L. Ottaviani O. Thomas P. Masson, T. Stotnicki B Billia RESSOURCES 10/2003 11/2004 10/2005 10/2005 09/2005 10/2003 11/2004 10/2003 10/2004 10/2004 10/2004 10/2004 10/2005 10/2005 11/2005 10/2003 10/2003 10/2004 11/2005 10/2002 10/2003 10/2003 11/2005 11/2004 12/2005 11/2004 11/2004 02/2004 01/2005 10/2003 04/2004 11/2005 10/2003 09/2003 10/2003 10/2004 10/2004 11/2004 10/2003 HUMAINES bourse MENRT CIFRE bourse MENRT CIFRE INDUSTR All. recherche CIFRE CIFRE All. recherche bourse MENRT EUROPE CIFRE ETR COLL TERR CIFRE ETR INDUSTR CIFRE CIFRE bourse MENRT CIFRE bourse MENRT bourse MENRT CIFRE FSE CIFRE Région/ONERA bourse Région/CNRS CIFRE CNRS INDUSTR BDI CNRS/ST INDUSTR bourse MENRT INDUSTR COLL.TERR CIFRE CIFRE bourse CNES RAPPORT D’ACTIVITE 2002 - 2006 Docteurs (ayant soutenu leur thèse durant les quatre dernières années – 1/9/02 au 30/9/2006) AHOUSSOU Ange ALIOUANE Nadir ANANOU Bouchra ANEFLOUS Latifa ARCARI Andrea ARDHAOUI Kahoutar ARTIGUE Olivier AURIAC Nicolas AZIZA Hassen BARAKEL Damien BERNARDINI Sandrine BERTAINA Sylvain BESCOND Marc BIENACEL Jérôme BOA David BORGETTO Manon BORLOZ Bruno BOULMANI Radouan BOUQUET Valéry CHMIELOWSKA Magdalena CHOVELON Eurydice DEHAESE Nicolas DELERUELLE Damien DELSUC Bertrand DENAIS Mickaël DESBIEF Simon EL BOUAYADI Rachid FAIK Hasnaa FORLI Lionel GAILHANOU Hélène GARROS Xavier GATTO Jean-François GILIBERT Fabien GOMRI Sami HAMMA Hind HARRISON Samuel IDRISSI Hosni ISA Michael LABBE Anna LABIDI Ahmed LAFFONT Romain LEONI Elisa LOPEZ Jean-Marc LOPEZ Laurent LOUBENS Audrey MEGDICHE Makram MEILLERE Stéphane MENOU Nicolas MONFRAY Stéphane NEMOUCHI Fabrice NGO Kieu An NYEKI Joseph PASZKO Fabien PAYET Fabrice PIN Guillaume PORTAVOCE Alain RANICA Rosella Direction de thèse Date Financement J. Rogez, A. Kone (Abidjan) P. Saint-Grégoire J. Marfaing J.R. Gavarri, J. Musso S. Martinuzzi, S. Pizzini (Milan) J. Rogez, M. Jemmal (Tunis) M. Lannoo, C. Tetelin S. Martinuzzi A. Perez S. Martinuzzi, M. Pasquinelli P. Masson A. Stepanov M. Lannoo J.L. Autran, F. Guyader J. Rogez, K.P. Kotchi (Abidjan) C. Jauffret C. Jauffret, Xerri K. Aguir P. Canet, F. Lalande J.R. Gavarri, J. Kusinski A. Charai,W. Saikaly H. Barthélemy J.L. Autran, B. De Salvo R. Bouchakour, P. Canet A. Bravaix D. Vuillaume, L.Patrone G. Regula, B. Pichaud B. Vidal J.M. Portal, R. Bouchakour J. Olives, J. Rogez J.L. Autran S. Martinuzzi, I. Périchaud R. Bouchakour, P. Masson J.L. Seguin J. Rogez D. Munteanu, T. Skotnicki M. Lancin, B. Pichaud J.L. Baudour, J.C. Valmalette A. Perez K. Aguir R. Bouchakour B. Pichaud, S. Binetti (Milan) C. Jauffret R. Bouchakour R. Fortunier, O. Thomas O. Thomas, A. Cheikhouhou (Sfax) H. Barthélémy G. Nihoul, C. Muller J.L. Autran, T. Skotnicki D. Mangelinck K. Aguir J. Bernardini P. Gas J..L. Autran I. Berbezier P. Gas R. Bouchakour, P. Masson 04/2006 09/2002 06/2003 09/2005 03/2004 05/2006 06/2005 05/2003 12/2004 05/2004 09/2004 07/2005 11/2004 11/2005 04/2006 04/2005 06/2006 03/2006 05/2006 12/2005 12/2003 12/2005 12/2004 10/2005 09/2005 03/2006 11/2003 01/2005 10/2005 09/2005 02/2004 10/2002 01/2006 07/2006 12/2005 04/2005 04/2006 11/2003 12/2003 09/2006 11/2003 03/2004 03/2004 12/2004 01/2006 03/2006 06/2004 12/2004 11/2003 11/2005 06/2006 12/2004 10/2002 01/2006 02/2006 10/2002 10/2005 ETR Bourse Ministère ATER ETR ETR ETR Bourse Ministère All. recherche Bourse Ministère All. recherche Bourse Ministère Bourse Ministère BDI Région/CNRS CIFRE ETR Bourse Région / IFREMER Aucun Région/Entreprise CIFRE ETR INDUSTR INDUSTR INDUSTR Ministère Industrie CIFRE BDI CNRS ASSOC CIFRE CIFRE ANDRA CIFRE ADEME CIFRE ETR ATER Ministère Industrie ASSOC Bourse Ministère Bourse Ministère ASSOC CIFRE ETR Aucun All. recherche All. recherche ETR Bourse Ministère BDI CNRS/Gemplus INDUSTR Bourse Ministère Bourse Ministère Ministère Aff. Etrangères CIFRE CIFRE CIFRE All. recherche CIFRE PRESENTATION DU PROJET 31 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE RATGHEB Stéphane RAZAFINDRAMORA Juliano RENARD Sophie RIVERO Christian ROMANO Elisabetta SAITZEK Sébastien TATINIAN William TRAPES Céline VARLET Hélène VERVISCH Wilfried ZARBOUT Kamel ZHOU Binghong 32 O. Palais, M. Pasquinelli P. Canet, R. Bouchakour J..L. Autran O.Thomas, A. Charai, D. Narducci (Milan) J.R. Gavarri, C. Leroux P. Pannier, R. Bouchakour M. Lannoo, D. Goguenheim C. Alfonso, B. Pichaud B. Pichaud, L. Ventura (Tours) G. Moya H. Nguyen Thi, B. Billia RESSOURCES 12/2005 12/2004 06/2003 01/2005 12/2005 03/2005 12/2003 01/2004 07/2006 12/2005 09/2005 09/2005 HUMAINES Salarié ISL Bourse Ministère CIFRE CIFRE ETR Région/Entreprise CIFRE All. recherche BDI CNRS CIFRE Ministère Aff. Etrangères Ambassade de France RAPPORT D’ACTIVITE 2002 - 2006 Ressources financières Le tableau suivant présente, en k€, la moyenne annuelle des ressources dont a disposé l’unité (TTC) au cours des quatre dernières années (hors financements récurrents du Ministère et du CNRS et hors BQR). Ressources propres (contrats de recherche, prestations...) Collectivités territoriales Communauté européenne Crédits ANR (hors FNS/FRT) CNRS Université de Provence Université Paul Cézanne Université Sud Toulon Var 628 3 000 313 100 4 041 65 30 315 10 420 50 34 284 10 378 347 1 090 Total 347 3 064 912 120 5 186 k€ Ressources propres (contrats de recherche, prestations...) Collectivités territoriales 32% Communauté européenne Crédits ANR (hors FNS/FRT) 57% 5% 6% Ressources hors financements récurrents PRESENTATION DU PROJET 33 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Investissements des quatre dernières années (en k€) Le tableau ci-dessous récapitule l’ensemble des équipements acquis sur la période précédente. Les équipements ont été regroupés le plus souvent pour plus de clarté. Ils ont permis le développement de différents projets qui sont détaillés dans le bilan scientifique. Descriptif Source de financement MET Tecnai G2 362 34 31 Four ultra haute température CR Paca CG13 80 70 Manip de dépôt sous ultravide MENRT Feder 80 50 Source de rayon X Rigaku 18 kW + Goniomètre 4 cercles INEL + circuit de refroidissement Hyfrapedia Réseau RMNT Feder, MENRT CNRS 3 Bancs de mesures de dispositifs mémoires NVM composés de station sous pointes, analyseur HP 4156, capacimètre HP 4284 et matrice de commutation Testeur Agilent 93 000 3 bancs de caractérisation de circuits RF-HF composés de station sous pointes, analyseur de réseaux, oscilloscope, analyseur de bruit, matrice de commutation, générateur de signaux rapides 200 1 200 MINEFI CG13/STM 500 1 100 CG13/STM CG13/CIM PACA Cluster de calcul SUN CNRS MINEFI/ROBIN Ensemble instrumental pour l’ingénérie des nanostructures Magnétomètre à SQUID Banc ce caractérisation de capteurs composé d’un picoampèremètre et d’un ellipsomètre Système de pulvérisation cathodique magnétron 3 cibles Spectromètre UV Banc de caractérisation de composants en boîtier en température 280 60 60 184 92 92 130 130 80 30 30 100 45 70 Feder CR Paca 140 60 Feder 170 Feder CR Paca CG83 Fonds propres L2MP Feder CR Paca CG83 Fonds propres L2MP Spectromètre infra rouge FTIR 1 800 Feder CR Paca CG05 CG13 CR Paca CNRS BQR UPC Fonds propres L2MP CR Paca MNERT CNRS Feder Bâti de pulvérisation cathodique magnétron 3 cibles 130 260 60 MINEFI STM/CG13 Serveur de calcul SUN V890 Testeur de mémoires SRAM CG13/STM 150 20 10 10 10 20 10 10 10 130 Feder CR Paca CG83 Fonds propres L2MP TPM Feder CR Paca CG83 Fonds propres L2MP 60 30 30 30 40 60 30 30 30 Spectromètre Auger à balayage Feder Fonds propres L2MP 160 40 Diffraction RX CG13/STM Station sous pointes 300 mm et analyseur HP 4156 Banc de caractérisation RF composé de générateur de modulation RF, analyseur vectoriel 2,65 GHz, analyseur de réseaux 3 GHz Total 34 Coût CPER MENRT CNRS 50 8 680 RESSOURCES FINANCIERES RAPPORT D’ACTIVITE PRESENTATION DU 2002 - 2006 PROJET 35 RAPPORT D’ACTIVITE 2002 - 2006 2. Bilan scientifique Département Matériaux et Nanosciences Département Micro et Nano Electronique Faits marquants Développement de plates-formes 35 RAPPORT D’ACTIVITE 2002 - 2006 Le département matériaux et nanosciences Equipe Réactivité et diffusion aux interfaces Equipe Microstructures de croissance auto-organisées Equipe Nanostructuration Equipe Nanostructures semi-conductrices épitaxiées Equipe Contraintes mécaniques dans les objets de petites dimensions Equipe Défauts étendus : structure et thermodynamique Equipe Magnétisme Equipe Théorie, modélisation, simulation 37 RAPPORT D’ACTIVITE 2002 - 2006 Equipe Réactivité et diffusion aux interfaces Responsable Claire Bergman Permanents Jean Bernardini, directeur de recherche CNRS Gérard Clugnet, technicien CNRS Benjamin Duployer, ingénieur d’études CNRS Patrick Gas, directeur de recherche CNRS Christophe Girardeaux, professeur, Université Paul Cézanne Eliette Gontier-Moya, professeur émérite, Université Paul Cézanne Dominique Mangelinck, chargé de recherche CNRS Fernand Moya, professeur, Université Paul Cézanne Gérard Moya, professeur, Université Paul Cézanne Denise Moya-Siesse, maître de conférences, Université Paul Cézanne Carine Perrin-Pellegrino, maître de conférences, Université Paul Cézanne Alain Portavoce, chargé de recherche CNRS Magali Putero, maître de conférences, Université Paul Cézanne Andrée Rolland, professeur, Université Paul Cézanne Abderrahmame Si Ahmed, ingénieur de recherche, Université Paul Cézanne Directrice de recherche CNRS [email protected] Visiteurs, Postdoctorants, ATER Doctorants Zhang Shi-Li, Pr invité 2002 Janos Labar, Pr invité 2005 Zoltan Erdelyi, Pr invité 2005 Andriy Gusack, Pr invité 2006 Rodolfo Perez, Poste rouge CNRS 2004-05 Christel Leandri, ATER 2005-2006 Toufik Badèche, contrat STSI 2002 Aitor Fernandez Lopeandia, visiteur 2005 thèses en cours Loeizig Ehouarne Khalid Hoummada Boubekeur Lalmi Nicolas Rodriguez Roberto Simola thèses soutenues Fabrice Nemouchi (2005) Kamel Zarbout (2005) Joseph Nieky (2004) Fabien Paszko (2002) Alain Portavoce (2002) Pooi See Lee (2002) Thèmes de recherche Réactivité interfaciale et transport de matière à l'échelle nanométrique Mots clefs Premiers stades de formation, redistribution des dopants, effet d’alliage, stabilité des interfaces, couplage cinétique et thermodynamique, ségrégation - Ingénierie cinétique, métallisation, multicaractérisation - Interphases, surface, joints de grain - Multicouches, films minces, nanosystèmes , nanocomposants - Intermétalliques, siliciures, germaniures Défauts, dynamique de charge. Publications les plus marquantes des 8 dernières années 1. Mangelinck D. et al – Appl.Phys.Letters, 1999 (indice : 51) 2. Portavoce A. et al – Thin Solid Films, 2000 ( indice : 24) 3. Emeric E. et al – Phil.Mag., 1998 (indice : 18) BILAN SCIENTIFIQUE 39 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Equipements spécifiques Elaboration massif et films minces: Fusion-lévitation, Evaporation, Sputtering - Traitement thermique, Fours sous vide et atmosphère, Recuit thermique rapide Caractérisation in situ et en T: Diffraction RX, Analyse calorimétrique différentielle (DSC), Résistimétrie, Spectrométrie Auger+LEED (possibilité de dépôt in situ), Spectroscopie d’annihilation de positrons (PAS), MEB équipé pour SEMM, ICM Partenaires industriels et publics STMicroelectronics, ATMEL, CEA-LETI, ACI MENRT- Surfaces, interfaces et nouveaux matériaux, Centre Intégré Microélectronique CIM-PACA plateforme caractérisation, FSE, IRSID-Metz Collaborations scientifiques GDR Relax, GDR Gédéon, CPR Précipitation, Réseau d’excellence REX Complex Metallic Alloys, PAI Balaton (LPS, Debrecen et RITPMS-Budapest) IBM-Yorktown-USA, C. Lavoie Royal Institute of Technology-Stokholm- Suède, S.L. Zhang IMRE-Singapour, National University of Singapore, Chartered Semiconductor Manufacturing- Singapour, D.Z. Chi, P.S. Lee Université Autonome de Barcelone, J. Rodriguez-Viejo Université de Katowice- Pologne, Université de Sfax-Tunisie, Ecole Normale Supérieure de Rabat-Maroc CEA-LETI V.Caron, CECM Vitry, M.G. Barthes CIRIMAT Toulouse, C. Vahlas CRMC-N Marseille B. Aufray, C. Bichara, D. Chatain, G. Lelay ESRF E.Ziegler GPM Rouen D.Blavette, E.Cadel IRSID-Metz P.Drillet, LSG2M Nancy J.M. Dubois. 40 REACTIVITE ET DIFFUSION AUX INTERFACES RAPPORT D’ACTIVITE La miniaturisation et la complexité croissante des composants des dispositifs électroniques sont à l’origine de récentes problématiques liées à la conception de nouveaux matériaux et aux processus d’élaboration. La résolution des problèmes posés s’appuie sur l’utilisation des concepts fondamentaux de la métallurgie. Notre équipe possède une expertise reconnue dans ce domaine et actuellement notre activité est essentiellement focalisée sur la réactivité interfaciale et le transport de matière à l’échelle nanométrique. L’étude des phénomènes observés à cette échelle, l’analyse des paramètres pertinents (dimensions nanométriques, influence des éléments d’addition…) et la modélisation des mécanismes sous-jacents constituent nos objectifs. REACTIVITE INTERFACIALE La compréhension du comportement réactif de solides de taille nanométrique passe par le développement de méthodologies nouvelles aussi bien sur le plan expérimental que sur le plan conceptuel. Sur le plan expérimental, nous avons mis en place des méthodologies adaptées aux études de structures de petite dimension et proches des dispositifs de la microélectronique. Ainsi nous avons pu analyser par DSC (analyse calorimétrique différentielle) la réaction de films nanométriques de Ni sur substrat de Si et caractériser des dispositifs sub-microniques par RBS (Rutherford Backscattering) [Mangelinck et al, NIMB, 2004]. Le couplage des méthodes d’analyse in situ en temps réel (diffraction X, résistivité, réflectométrie) dans un même dispositif est en cours de réalisation et ceci nous permettra de disposer d’un ensemble expérimental original et performant. Nous avons participé à la création du Centre Intégré de Microélectronique CIM-PACA qui nous permet l’accès aux techniques lourdes de caractérisation comme le SIMS. Nous avons aussi développé des collaborations pour accéder au synchrotron (ESRF, IBM), à la nanocalorimétrie (Université de Barcelone) et à la tomographie atomique (GPM, Rouen). Sur le plan conceptuel, ces systèmes du type multicouches, films minces, revêtements… possèdent un grand rapport interface/volume qui nécessite la prise en compte de phénomènes dans lesquels les interfaces contrôlent les transformations subies par le matériau. L'addition d'éléments d'alliage ou d'impuretés peut modifier fortement la réactivité et aider à comprendre pourquoi des phases métastables apparaissent et peuvent être stabilisées dans certaines conditions (ingénierie cinétique). La réactivité dépend aussi fortement des dimensions à l'échelle nanométrique [Gas et al, Mat. Sc. Eng. B, 2003; Bergman et al, J. Elect. Chem., 2004] Mécanismes de formation siliciures et germaniures des L’analyse calorimétrique différentielle est une technique puissante pour étudier la thermodynamique et la cinétique de réactions BILAN 2002 - 2006 mais est restée jusqu’à présent limitée à des matériaux massifs ou à des multicouches autosupportées. Nous avons développé un mode de préparation pour analyser par DSC les films minces sur substrat. Ces mesures couplées à des analyses in situ par DRX [Nemouchi et al, Applied Phys. Lett., 2005 et fait marquant] ont permis de montrer que la croissance de Ni2Si pouvait être contrôlée principalement par l'interface. Nous avons déterminé le taux de réaction interfaciale qui est prédominant pour les épaisseurs très faibles où la croissance est principalement limitée par l’interface. Les techniques in situ nous ont aussi permis de montrer que la formation des germaniures de Ni se fait de façon simultanée alors que celle des siliciures de Ni est séquentielle [Nemouchi F. et al, Appl. Phys. Lett., 2006]. Cette différence de comportement est probablement liée à des différences au niveau des paramètres d'interface comme la mobilité par exemple. Effet des dimensions nanométriques sur la réactivité La miniaturisation continue des composants peut inhiber la formation des siliciures servant de contact en microélectronique. Nous avons clairement montré ce phénomène en étudiant la réaction Ti/Si dans les mémoires flash [Mangelinck et al, Microelec Eng, 2003]. La formation de la phase C49-TiSi2 dépend en effet fortement des dimensions (Fig. 1): si elle ne pose pas de problème sur les grilles (lignes de largeur ~ 250 nm) et n’a lieu qu’occasionnellement sur les drains (carrés de coté ~ 250 nm), elle se trouve complètement inhibée sur les sources (lignes de largeur ~ 75 nm). Ceci a été attribué à une difficulté de germination et peut être résolu par amorphisation du Si. Siliciure Défauts Figure 1. Formation de TiSi2 dans les mémoires flash D’autre part, nous avons montré que la réaction de multicouches Al/Co pouvait conduire à la formation de nanoparticules de Co enterrées (Fig 2). Cette nanostructuration a deux origines. La première est l’agglomération de couches très minces et la seconde est la nature non-planaire du front de réaction due à une formation préférentielle de Al9Co2 aux joints d’Al. Celle-ci conduit à une consommation inhomogène de cobalt et finalement à la formation de nano–particules. Cette nanostructuration du cobalt entraîne une modification de la séquence de phases [Gas P. et al, Appl. Phys. Lett., 2004]. SCIENTIFIQUE 41 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Figure 2. Formation de nano-particules de Co Influence des éléments d'addition L'addition et/ou la redistribution d'un élément peut moduler les propriétés de phases ou de structures formées à partir de ces phases. Elle peut aussi modifier la formation et/ou la stabilité des phases. Il est donc important et intéressant de comprendre les différents phénomènes à l'origine de ces modifications. Les trois types de systèmes ternaires suivants ont un intérêt en nanoélectronique: métal-métal-silicium métal-germanium-silicium métal-dopant-silicium Nous avons notamment montré que l'addition d'éléments d'alliage comme le Pt [Lee et al, J. Elec. Soc., 2002; Lee et al, Elec. Sol. State Lett., 2002] ou le Ge [Seger et al, Appl. Phys. Lett., 2002] peut stabiliser la phase NiSi. Ces résultats peuvent être en partie expliqués par l'effet d'entropie associé à l'addition de l'élément [Mangelinck et al, Defect Diffusion Forum, 2006]. Nous avons également étudié la redistribution des éléments d'addition comme Pt [Lee et al, So. Stat. Com., 2004], Ge [Jarmar et al, J. Appl. Phys., 2002] et As [Hoummada et al, Microelec. Eng., 2006] lors de la formation des siliciures de Ni. Dans le cas du Ge, nous avons montré que les diagrammes de phases ternaires pouvaient expliquer des aspects de la redistribution du Ge. L'utilisation de la tomographie atomique devrait beaucoup apporter sur cette thématique. TRANSPORT DE MATIERE La diffusion à l’échelle nanométrique, domaine de recherche fondamental avec de nombreuses applications, apporte des renseignements sur la stabilité dans le temps des matériaux. Diffusion et ségrégation semiconducteurs dans les Les études de ségrégation d’équilibre sont pratiquement inexistantes à la surface des semiconducteurs, principalement quand ils sont amorphes. Nous avons mené trois études par spectrométrie Auger (SEA). i) Dans le système Ge-Sn (Rolland A. et al., Surf. Sci. 2004), il existe une différence fondamentale entre le comportement de ces solutions solides et celui des solutions solides 42 REACTIVITE ET métalliques. L’amorphisation du substrat lors du décapage ionique et sa recristallisation par traitement thermique conduisent à des cinétiques beaucoup plus rapides que celles impliquant une diffusion purement volumique du soluté. Cela permet de visualiser les quantités ségrégées et donc l’étude thermodynamique de la ségrégation d’équilibre dans ces matériaux. ii) Nous avons aussi étudié la ségrégation superficielle de Ge à partir d’un film mince de Si1-xGex amorphe (Nyeki J et al., Appl. Surf. Sci., 2003). A partir de l’isotherme de ségrégation et en utilisant le modèle de McLean-Langmuir, nous avons estimé l’enthalpie de ségrégation à [5.3±0.5] kJ.mol-1. Cette valeur reste inférieure à la valeur théorique calculée dans des alliages cristallins. iii) Nous avons de plus étudié la diffusion et la ségrégation de Sb dans une bicouche a-Si/c-Sb (Nyeki J et al., Def. and Diff. For., 2005). Les résultats montrent que ce système présente une forte tendance à la ségrégation ainsi qu'une forte diffusivité et solubilité de Sb dans a-Si (plusieurs ordres de grandeurs supérieurs à ceux obtenus dans Si cristallin). Influence des contraintes Bien que de nombreux modèles décrivent les effets des contraintes sur la diffusion atomique dans un cristal, il existe très peu d’expériences permettant des mesures quantitatives de la variation de la diffusion d’un élément en fonction d’une contrainte. Nous faisons partie des quelques équipes internationales ayant réalisé ce type de mesures. Nous avons mesuré la variation du coefficient de diffusion du Sb et du B dans des couches nanométriques de Si(Ge) en fonction d’une contrainte biaxiale en compression et tension. Nous avons montré l’influence du mécanisme de diffusion sur le déplacement des atomes sous contraintes, notamment sous tension. Ces résultats ont fait l’objet de 3 publications : Portavoce A. et al., Phys. Rev. B, 2004 ; Portavoce A. et al., Phys. Rev. B, 2004 ; Portavoce A. et al., J. Appl. Phys. ,2004. Diffusion dans les nano-cristaux Deux revues relatives à la diffusion dans les matériaux nanocristallins ont été rédigées Bernardini J et al., Int. Sci., 2003, Bernardini J et al., nanodiffusion, 2004, Trans Tech Publi. Ltd]. Les points forts qui émergent de ce travail sont i) les propriétés des joints de grains dans les nanomatériaux sont fonction du mode de préparation et non de la taille des grains; ii) la porosité inhérente à certains modes de préparation rend les cristaux inaptes aux mesures de diffusion ; iii) lorsque le matériau n’est pas poreux et si les lois de diffusion correctes sont utilisées pour prendre en compte l’effet nanométrique, la diffusion intergranulaire est similaire à ce que l’on observe dans des polycristaux classiques. DIFFUSION AUX INTERFACES RAPPORT D’ACTIVITE Reconstruction de surface 1. Système métal/métal L’étude STM/LEED du dépôt à température ambiante (RT) de Pb sur Ag (111) met en évidence la formation d’une structure superficielle, (√3 x √3) R30, pour un recouvrement équivalent à 1/3 de monocouche. Des mesures synchrotron du niveau 5d du Pb ont montré que dans cette structure, les atomes de Pb ont un environnement chimique unique [Dalmas J. et al., Surf. Sci. 2006]. Cela implique la formation d’un alliage de surface entre deux métaux immiscibles et indiquerait un processus de désalliage à RT. 2. Système métal/SC Par STM nous avons observé l’apparition de nanofils (1D) de Si parallèles sur Ag (110). Ils atteignent une longueur de quelques centaines de nm et une largeur de 16 Å [Leandri C. et al, Surf. Sci., 2005]. L’étude LEED confirme la formation de cet assemblage auto-organisé. La photoémission (synchrotron ELETTRA) révèle la présence remarquable d’états quantiques dispersés le long des nanofils ainsi que la présence de deux composantes extrêmement fines dans les niveaux de cœur Si2p. Cela montre que chaque nanofil est un objet quantique en soit, les atomes de Si n’ayant que deux environnements atomiques différents. 3. Mouillage par Pb liquide de films minces Al/Co cristallin et quasicristallin Dans ce travail soutenu par une ACI [Bergman C. et al., Phil. Mag., 2006 ], nous avons étudié le mouillage de gouttes micrométriques de Pb sur des films minces polycristallins de phases i) quasicristalline Al13Co4 et ii) cristalline AlCo. ____ 10μm Figure 3. Mouillage du Pb sur un film d’Al13Co4 (QC) ; effet de l’état de surface Pour obtenir des mesures d’angles de contact à la surface des intermétalliques non oxydés, nous avons réalisé une méthode originale : les films ont été préparés sous UHV par dépôts successifs de films nanométriques de Co et d’Al suivi d’une réaction en température à l’état solide. Sans remise à l’air, on a ensuite effectué une évaporation in situ d’un film nanométrique de Pb. Les échantillons sont alors transférés dans une microsonde Auger à balayage puis chauffés jusqu’à démouillage du film de Pb. Les BILAN 2002 - 2006 analyses entre les gouttes de Pb montrent la présence d’Al et de Co non oxydé et l’absence de Pb sur la surface des intermétalliques. Les angles de contact (45° environ) montrent que Pb mouille aussi bien les surfaces cristallines que quasicristallines contrairement aux résultats de la littérature. Diffusion dans des céramiques et des intermétalliques Les défauts volumiques dans les matériaux complexes restent encore mal connus. i) Dans l’alliage à mémoire de forme NiTi, la diffusion volumique du nickel radioactif 63Ni a conduit à une énergie d’activation trop faible pour un mécanisme de diffusion lacunaire pourtant classique dans les binaires de structure B2. [Bernardini J. et al., Phil. Mag 2003]. Ce résultat surprenant, qui est en accord avec le volume d’activation nul mesuré dans une étude précédente sous pression hydrostatique, demande une vérification en étudiant la diffusion des deux constituants. i) Dans les céramiques, la diffusion volumique des métaux nobles (Ag ; Pt, Mg) a été menée par SIMS dans l’alumine. Les résultats, impliquent un mécanisme coopératif original faisant intervenir des lacunes thermiques et des lacunes de constitution pour rendre compte de la diffusion rapide (3 ordres de grandeur par rapport à Cr et Al dans Al2O3) de ces éléments. Ce mécanisme implique une auto compensation des charges ; les défauts responsables de la diffusion étant des triplets (Ag,,Al-2Ag.i ) et (2Pt,Al- Pt..i ). [Moya E. et al, Z. Metalkd. 2004] Dynamique des charges Dans les diélectriques solides, comme l’alumine (high κ) , l’étude des cinétiques de piégeage et la détermination in situ des quantités de charges piégées, sous faisceau électronique dans un MEB, par la méthode miroir ou celle des courants induits (Liebault J. et al., Appl. Surf. Sci., 2003 ; Zarbout K. et al., J. NonCryst. Solids, 2005) a été couplée à une étude thermodynamique faite avant et durant la relaxation de ces charges. Ce type de recherche permet une approche théorique originale des phénomènes à l’origine du claquage diélectrique en relation avec l'énergie de polarisation localisée autour des charges piégées sur des défauts identifiés par spectroscopie d’annihilation des positons (Moya G. et al., Phys. Stat. Sol. A, 2003). L’amélioration du champ de claquage de ces diélectriques a été recherchée dans l’alumine en étudiant en fonction de la température (thèse de K. Zarbout, 2005) i) l'influence du dopage dans des monocristaux qui présente la possibilité de modifier en fonction des dopants les propriétés mécaniques et diélectriques des oxydes chargés et, ii) l’influence de la microstructure dans les polycristaux obtenus par frittage (Si Ahmed A. et al., J. Europ. Ceram. Soc., 2005) en faisant varier la taille des grains qui intervient sur la stabilité ou l’aptitude à conduire les charges injectées. SCIENTIFIQUE 43 RAPPORT D’ACTIVITE 2002 - 2006 Equipe Microstructures de croissance autoorganisées Responsable Bernard Billia Permanents Nathalie Bergeon, maître de conférences, Université Paul Cézanne Jean-Jacques Furter, technicien, CNRS Nathalie Mangelinck-Noël, chargée de recherche, CNRS Henri Nguyen Thi, maître de conférences, Université Paul Cézanne Jérôme Paret, chargé de recherche CNRS (jusqu’au 31/08/2006) Directeur de recherche CNRS [email protected] Visiteurs, Postdoctorants, ATER Qiusheng Liu, professeur invité, 2002, 2005 Chung Wen Lan, professeur invité, 2002 Rohit Trivedi, professeur invité, 2005 Binghong Zhou, postdoc 2006-2007 Gael Plantard, ATER 2002-2003 Doctorants thèses en cours Delphine Borivent Adeline Buffet Hyejin Jung Guillaume Reinhart Cédric Weiss thèse soutenue Binghong Zhou (2005) Thèmes de recherche Instabilités et structures auto-organisées dans les matériaux. Solidification d’alliages. Interdiffusion réactive. Mots clefs Microstructures de croissance, instabilités, auto-organisation : - solidification, alliages, intermétalliques, quasicristaux, dendrites, transition colonnaire – équiaxe, structure de grains, convection, observation in situ et en temps réel, microgravité - interdiffusion réactive, siliciures de nickel, modélisation champ de phase. Publications les plus marquantes des 8 dernières années 1. Schenk T. et al.- J. Crystal Growth, 275 (2005) (indice : 5). 2. Drevet B. et al.- J. Crystal Growth, 218 (2000) (indice : 6). 3. Jamgotchian H. et al.- Phys. Rev. Letters, 87 (2001) (indice : 6). Equipements spécifiques - Solidification dirigée Bridgman avec système de convection forcée (vibration/rotation) - Solidification dirigée Bridgman avec observation in situ en temps réel par imagerie X synchrotron (ESRF) - Directional Solidification Insert du projet DECLIC-CNES : solidification dirigée d’alliages transparents massifs avec suivi in situ en temps réel (observation directe, interférométrie) - Dispositifs de métallographie, dont caractérisation des structures de grains par anodisation - Saisie, traitement et analyse d’images - Presse à diffusion - Station de travail alpha bi-processeur – 1 Go RAM BILAN SCIENTIFIQUE 45 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Partenaires industriels et publics Union Européenne, CNES (Centre National d’Etudes Spatiales), ESA (European Space Agency), HYDRO Aluminium Deutschland GmbH Collaborations scientifiques GDR Micropesanteur Fondamentale et Appliquée GDR Champ de phase MAP (Microgravity Application Promotion) ESA : “CETSOL” Columnar to Equiaxed Transition in SOLidification processing MAP ESA “XRMON” In situ X-Ray MONitoring of advanced metallurgical processes under microgravity and terrestrial conditions Réseau d’Excellence Européen “CMA” Complex Metallic Alloys CRMC-N Equipe Imagerie ID19, ESRF LPM, Ecole des Mines – Nancy CEMEF, Ecole des Mines de Paris – Sophia Antipolis EPM (Elaboration par Procédés Magnétiques), CNRS - Grenoble L3M, Marseille IFF, Forschungszentrum Jülich Iowa State University National Microgravity Laboratory of China Taiwan University Université de Perm ACCESS - Aix-la-Chapelle University College - Dublin 46 MICROSTRUCTURES DE CROISSANCE AUTO-ORGANISEES RAPPORT D’ACTIVITE Les recherches de l’équipe "Microstructures de croissance auto-organisées" ont ces dernières années porté sur les mécanismes physicochimiques qui régissent la dynamique de formation des microstructures de solidification (contrôle des propriétés des matériaux élaborés en métallurgie et structures dissipatives modèles pour les phénomènes hors équilibre) et sur les formes d’interdiffusion réactive (morphologies de Ni3Si2 pour le système Ni/Si, d’un grand intérêt en microélectronique). 2002 - 2006 En outre, nous pouvons analyser l’élimination de dendrites dans le processus d’établissement du réseau par la mesure des vitesses individuelles des pointes de dendrites (Figure 2). a) 1 STRUCTURATION EN SOLIDIFICATION 4 8 3 Notre originalité est l’étude de la solidification d'alliages (systèmes métalliques, quasicristaux, intermétalliques, analogues organiques transparents) par 3 voies complémentaires : - Observation in situ en temps réel (méthodes optiques, imagerie X synchrotron) de la dynamique des phénomènes; - Métallographie post mortem; - Modélisation et simulation numérique. Observation in situ et en temps réel de la solidification cellulaire / dendritique d’alliages transparents modèles des systèmes métalliques L’équipe a développé avec le CNES (Centre National d’Etudes Spatiales) un équipement permettant de caractériser la solidification des alliages transparents organiques massifs, le Directional Solidification Insert (DSI). Ce dispositif unique nous permet d’accéder à la dynamique de formation et de sélection de la microstructure ainsi qu’aux effets de la convection naturelle sur ces phénomènes. Les développements récents conduits sur les méthodes expérimentales et d'analyses permettent de caractériser avec précision la microstructure dans sa globalité (réseaux cellulaires ou dendritiques) mais également à l’échelle des cellules ou dendrites individuelles. Par exemple, le rayon de courbure au sommet paramètre essentiel dans les mécanismes de sélection des longueurs caractéristiques du réseau dendritique – peut être mesuré précisément sur des dendrites 3D (Figure 1). 5 2 6 7 16,5 - b) 0,1 7 µm/s 0,1 65 14,5 0,1 6 0,1 55 13,5 0,1 5 1 1 b) 2 3 4 5 6 7 Numéro de dendrite 2 3 4 5 N u mé ro d e la d e n d ite r 6 7 8 8 Figure 2. Solidification dendritique (V = 15 µm/s, G=15 K/cm, succinonitrile – 0,3 %pds eau) a) Image du réseau en formation montrant des dendrites qui vont être éliminées (4 et 5 puis 6, 7 et 8), b) Vitesse de croissance des dendrites marquées sur la Figure 1a après 28 min : ralentissement des dendrites 4 et 5. Nous avons contribué à montrer que la convection perturbe fortement les mécanismes de solidification (Weiss C. et al, Mat. Sci. Eng. A 2005 ; Jamgotchian H. et al, PRL, 2001). Par conséquent, la validation des modèles théoriques et numériques nécessite des données expérimentales de référence qui ne peuvent être obtenues qu’en régime diffusif. Dans ce but, un programme complet d'expériences en microgravité est prévu dans le dispositif DSI-DECLIC du CNES à bord de la station spatiale internationale (ISS). Etudes expérimentales de la microstructure de solidification d’alliages métalliques a) b) Figure 1. Solidification dendritique (SCN-0,1%pds camphre, V=5 µm/s, G=13 K/cm,) a) Interférogramme du réseau dendritique, b) Forme reconstruite du sommet de la dendrite. BILAN - Dynamique de formation de la microstructure dendritique : Par radiographie X, nous avons pu analyser la dynamique de formation de la microstructure dendritique d'alliage Al-3,5%pds Ni qui comprend la déstabilisation de l’interface, la formation des dendrites et la compétition des dendrites avec le front eutectique (Figure 3). L'interaction entre la solidification et les mouvements convectifs conduit au phénomène de localisation des microstructures (clustering): L'accumulation du soluté rejeté au cours de la solidification sur un côté de l'échantillon fait régresser les pointes de dendrites jusqu'à ce que le front eutectique l'emporte. SCIENTIFIQUE 47 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Figure 3. Radiographie X synchrotron du transitoire initial de solidification d’un alliage Al3,5%pds Ni, G = 30 K/cm, V = 1 µm/s: a) t = t0 + 304 sec, b) t = t0 + 1453 sec, c) t = t0 + 2605 sec. Le solide apparaît en gris tandis que le liquide enrichi en nickel est plus foncé. - Transition Colonnaire – Equiaxe (CET) : L’objectif principal de ces travaux est de clarifier la dynamique de la CET et de préciser les effets des différents paramètres de contrôle de façon à mieux piloter la transition dans les procédés industriels. Pour atteindre cet objectif, nous menons des expériences modèles au laboratoire. En particulier, la CET et le régime équiaxe ont pu être étudiés en fonction du gradient thermique et de la vitesse de tirage dans deux alliages : Al-3,5%pds Ni et Al7%pds Si avec ou sans particules affinantes (particules délibérément ajoutées pour favoriser la germination de grains équiaxes) (Figure 4). Equiaxe V=10µm/s Colonnaire V=2µm/s Figure 4. Transition d'un mode de croissance colonnaire à un mode de croissance équiaxe, induite par un saut de la vitesse de tirage de 2 à 10 µm/s dans un alliage affiné d’Al-3,5 % pds Ni G=20 K/cm. 1mm Les phénomènes entrant en jeu étant essentiellement dynamiques, nous complétons et approfondissons nos études post mortem en utilisant un dispositif innovant qui permet la caractérisation de la solidification d’alliages métalliques in situ et en temps réel par imagerie X synchrotron (radiographie et topographie) à l’ESRF (European Synchrotron Radiation Facility, Grenoble). Ainsi, les phénomènes dynamiques qui entrent en jeu au cours de la CET ont pu être étudiés dans des alliages d'Al-3.5%pds Ni (germination et sédimentation de grains équiaxes, blocage de la structure colonnaire). Nous analysons également l’évolution de la morphologie et de la densité des grains équiaxes en fonction des paramètres de contrôle. L’effet de la pesanteur sur la transition colonnaire - équiaxe dans le cas des alliages métalliques mais aussi pour les alliages transparents est crucial et ce point est développé dans le fait marquant. Dans le cadre du projet CETSOL (Columnar to Equiaxed Transition in Solidification Processing) de l’ESA (Agence Spatiale Européenne), nous préparons des expériences en microgravité réduite qui permettront de s’affranchir de la convection et des phénomènes de sédimentation. - Croissance de Quasicristaux: Le dispositif de caractérisation par rayons X de la solidification d’alliages métalliques, nous a également permis d’étudier la croissance facettée de quasicristaux (quasicristal icosaédrique AlPdMn) in situ et en temps réel (Nguyen Thi H. et al., Phys. Rev. E, 2006). Le mécanisme de formation des facettes à l’interface solide-liquide a été clairement mis en évidence (Figure 5). A plus grande vitesse, des grains de quasicristaux germent au dessus de l’interface solide-liquide (Figure 5.c). La croissance de ces grains et leur blocage mutuel sont notablement affectés par le rejet de soluté dont la répartition et l’évacuation sont largement contrôlées par la convection. Modélisation et simulation numérique des mouvements convectifs et de leur influence sur la microstructure de solidification Ces travaux sont réalisés dans le cadre d’une collaboration avec le National Microgravity Laboratory of China (Prof. Q.S. Liu), à laquelle est associé depuis 2004 le Prof. C.W. Lan de l’Université Nationale de Taiwan. Les comparaisons des résultats expérimentaux et numériques font ressortir la nécessité d’aller bien au delà d’une interface solide – liquide lisse (sans microstructure) et d’incorporer pas à pas une description réaliste de la compétition dendrites / eutectique induite par la convection. liquide Grain 1 a) 1 mm A2 A5 Grain 2 solide b) c) Figure 5. Croissance de quasicristaux icosaédrique d’AlPdMn. a) Croissance à V= 0,4µm/s. b) Recul du front de solidification et évolution des deux grains initiaux jusqu’au début de leur blocage c ) par de nouveaux grains, 750s après une augmentation de la vitesse de tirage à 3,6µm/s. 48 MICROSTRUCTURES DE CROISSANCE AUTO-ORGANISEES RAPPORT D’ACTIVITE En effet, les simulations numériques, qui dans un premier temps négligent l’hydrodynamique dans la zone dendritique pâteuse mi-solide mi-liquide, expliquent qualitativement nos observations expérimentales, mais un désaccord quantitatif demeure. Un exemple de simulation numérique qui tient compte de la convection dans la zone pâteuse est présenté Figure 6. Ces simulations rendent compte de la pénétration de l’écoulement dans la zone pâteuse qui modifie la microstructure dendritique. De plus, les différents profils de composition et de température simulés permettent de mettre en évidence des zones favorables à la croissance de grains équiaxes. 5.2 5.1 5 4.9 4.8 z (cm) 4.7 4.6 4.5 4.4 10 μ m/s 4.3 4.2 μ 4.1 4 0 0.2 0.4 r (cm) 0.6 Figure 6. Champs de température (lignes bleues), soluté (lignes noires) et des vitesses (flèches) dans le cas de l’Al-7%pds Si (V=2 µm/s, G=5 K/cm). Ces simulations numériques seront étendues au cas de la convection contrôlée que nous étudions expérimentalement au laboratoire (contrôle par vibration ou par rotation alternée - ACRT). L’utilisation de convection contrôlée permet de modifier l’écoulement et donc la répartition de soluté et éventuellement les microstructures et structures de grains obtenues. Interdiffusion réactive L’étude des transitions de phase solide – solide, et plus particulièrement celle de la croissance de la phase Ni3Si2 par interdiffusion réactive dans le système binaire nickel-silicium a été poursuivie. Cette étude, démarrée en 2001, avait pour but de comprendre la morphologie irrégulière (croissance en aiguilles) de la phase Ni3Si2 formée lors du recuit isotherme de couples de diffusion massifs Ni-Si. Ce mode de croissance très particulier, observé dès le début des années 80 (Tu K.N. et al, J. Appl. Phys., 1983) n’avait jamais été expliqué alors que le système Ni-Si fait l’objet de nombreuses études en raison de son intérêt pratique pour l’industrie microélectronique (métallisation des contacts). Grâce à une analyse approfondie de la réaction en fonction du temps et de la température, et à des observations in situ par microtomographie de rayons X (Figure 7), il nous a été possible de montrer que cette microstructure en aiguilles est liée à l’existence d’une anisotropie BILAN 2002 - 2006 500 µm Figure 7. Microstructure de la phase Ni3Si2 obtenue par microtomographie X (ESRF). cristalline forte (alignement des aiguilles selon l’axe cristallographique c). La mesure de l’énergie d’activation associée à cette croissance (~0,9 eV) indique l’existence d’un mode de diffusion rapide à la source du phénomène, mode qui pourrait être causé soit par une diffusion volumique rapide selon l’axe c, soit par une diffusion de surface associée à une anisotropie du taux de réaction. Une origine de la morphologie de la phase Ni3Si2 par une instabilité liée à des effets élastiques (instabilité de Grinfeld), explorée initialement, a pu être écartée par des simulations numériques par la méthode du champ de phase (Paret J., Phys. Rev. E, 2005) qui montrent que ce type d’instabilité conduit à des microstructures linéaires (sillons séparés par des crêtes) plutôt qu’à des aiguilles (Figure 8). Figure 8. Microstructure typique d’interface pour une croissance pilotée par les effets d’élasticité (instabilité de Grinfeld). Dans le prolongement de l’étude du cas volumique, le cas d’un film mince de Ni déposé sur Si a également été abordé. Au-delà d’une certaine épaisseur (h > 500 nm), et pour une température de recuit suffisamment élevée (T > 500°C), nous observons la croissance de la phase Ni3Si2, qui présente des structures d’auto-organisation surprenantes tout à fait différentes du cas volumique (Figure 9). Ces structures, en cours d’étude, pourraient trouver leur origine dans un mécanisme de réaction oscillant similaire à celui décrit dans les modèles de cristallisation explosive (Bradley M., J. Appl. Phys., 1986). 100 µm SCIENTIFIQUE Figure 9. Structure de surface de la phase Ni3Si2 obtenue par réaction d’un film de Ni déposé sur Si. (T=600°C). 49 RAPPORT D’ACTIVITE 2002 - 2006 Equipe Nanostructuration Responsables Louis Porte Professeur, Université Paul Cézanne [email protected] Jean-Marc Themlin Professeur, Université de Provence [email protected] Personnel Mathieu Abel, maître de conférences, Université Paul Cézanne Ariane Bliek, ingénieure d’études, Université Paul Cézanne Daniel Catalin, assistant ingénieur, CNRS Virginie Chevallier, maître de conférences, Université du Sud Toulon-Var Younal Ksari, maître de conférences, Université Paul Cézanne Jean-Charles Mossoyan, maître de conférences, Université de Provence Mireille Mossoyan-Déneux, maître de conférences, Université de Provence Laurent Nony, maître de conférences, Université Paul Cézanne Lionel Patrone, chargé de recherche CNRS Jean-Christophe Valmalette, professeur, Université du Sud Toulon-Var Visiteurs, Postdoctorants, ATER Christian Maurel ATER 2003-2005 Boris Bellini, ATER 2005-2006 Luca Giovanelli, Post-doc 2004-2006 Doctorants thèses en cours Mathieu Koudia Patrick Amsallem thèses soutenues Simon Desbief (2006) Michael Isa (2003) Thèmes de recherche Formation de systèmes nanostructurés par auto-assemblage, monocouches moléculaires auto-assemblées, auto-assemblage de nanocristaux à faces différenciées Mots clefs Auto-assemblage, auto-organisation moléculaire, électronique moléculaire, microscopie à effet tunnel (STM), nanostructures moléculaires sur surfaces cristallines, mécanismes d’adsorption de molécules organiques fonctionnelles sur surfaces solides, films ultra-minces organiques, mécanismes de croissance d’interfaces organique/inorganique, propriétés électroniques des surfaces Publications les plus marquantes des 8 dernières années 1. Nony L. et al., J. Chem. Phys., 111, 1999 (75 citations) 2. Patrone L. et al., J. Appl. Phys., 87, 2000 (74 citations) 3. Chevallier V. et al., Polymer, 40, 1999 (32 citations) BILAN SCIENTIFIQUE 51 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Equipements spécifiques ● Microscope à effet tunnel sous UHV et à température variable (100 à 1000K), Diffraction d’électrons lents, Spectromètres de photoémission directe et inverse (UPS/XPS/IPE), Equipements de synthèse et de purification des molécules (site de St-Jérôme) ● UV ozonolyse, ellipsométrie, mesure d’angles de contact (mouillage), spectrométrie (RTF, UV-Visible), microscopie en champ proche à l’air (AFM, EFM, STM), diffractomètres, boites à gants, analyses thermiques (DSC, TG-DTA, DMA) , microscopes SEM et TEM (site de Toulon) Partenaires industriels et publics Ministère MENRT ( Contrat pluri-formations ), Projet plateforme caractérisation CIM-PACA, Conseils Généraux du Var et des Bouches-du-Rhône, Région PACA, FEDER Collaborations scientifiques • CEMES ( Centre d’élaboration de matériaux et d’études structurales ), Université Paul Sabatier, Toulouse • IEMN (Institut d’Electronique de Microélectronique et de Nanotechnologie) à Villeneuve d’Ascq, équipe Nanostructures et Composants Moléculaires • LACCSC - ECE (Ecole Centrale d’Electronique) Paris • IMRAM, Université de Tohoku (Japon) • Synchrotron ELETTRA de Trieste • NCCR Nanoscale Science and Institute of Physics, Université de Bâle 52 NANOSTRUCTURATION RAPPORT D’ACTIVITE La fabrication de réseaux de nanostructures fonctionnelles de paramètres contrôlés est l’un des enjeux importants des nanosciences. Dans ce contexte, l’approche « bottom-up » basée sur le concept d’auto-organisation apparaît comme une méthode prometteuse pour contrôler la croissance de nanostructures. L’objectif principal de l’équipe « Nanostructuration » du L2MP est d’explorer de nouvelles voies pour créer par autoassemblage sur une surface solide des réseaux étendus de pas nanométrique, si possible ordonnées et stables. Les contributions qui suivent rendent compte des efforts accomplis pour produire et comprendre la formation de tels systèmes nanostructurés, via différents types d’approches : 1. 2. 3. sous ultra-vide à partir de l’autoassemblage de précurseurs moléculaires organiques vapo-déposés. en phase liquide à partir de monocouches auto-assemblées où l’on provoque la démixtion de molécules dans des films binaires. via l’auto-assemblage de nano-cristaux facettés, en utilisant la différenciation de deux faces cristallines en présence d’additifs ou de substrats adaptés. AUTO-ASSEMBLAGE DE SUPRAMOLECULAIRES COUCHES Les progrès de synthèse en chimie supramoléculaire mènent à des assemblages de plus en plus grands et complexes obtenus en solution. Notre objectif est d'utiliser les propriétés d'auto-organisation induites par une surface cristalline afin de réaliser différents types d'assemblages supra-moléculaires bidimensionnels sur des surfaces cristallines bien caractérisées. Ces nano-structures moléculaires sont susceptibles d’avoir des propriétés optiques, électroniques et magnétiques originales, qui pourraient être à la base de nouveaux nanomatériaux fonctionnels. D’un point de vue méthodologique, nous préparons sous ultra-vide des surfaces monocristallines bien reconstruites de divers substrats conducteurs, sur lesquelles nous déposons par évaporation des précurseurs moléculaires fonctionnalisés pour induire des liaisons inter-moléculaires non-covalentes. Les molécules sont préparées par les chimistes de l’équipe qui synthétisent et fonctionnalisent des molécules de type phtalocyanines et pérylènes. Nous étudions ensuite les mécanismes de croissance et les propriétés physiques par microscopie à effet tunnel et par spectroscopies de photoélectrons. La découverte de voies nouvelles passe obligatoirement par la compréhension des mécanismes complexes de l’auto-assemblage, qui dépendent à la fois des interactions intermoléculaires et molécules/substrat. Elle est facilitée dans l’équipe par une approche pluridisciplinaire entre chimistes organiciens et physiciens des surfaces. BILAN 2002 - 2006 Figure 1. Image STM de 0.3 monocouche de Cu déposé sur Ag(111). Encart : zoom du sommet d’un ïlot reconstruit (9x9). Installation et mise au dispositifs expérimentaux point des Depuis 2001 nos efforts ont d’abord porté sur l’installation de l’équipe, avec la mise au point et le développement de deux dispositifs multitechniques sous ultra-haut-vide permettant la préparation des substrats (bombardement, chauffage) et l’élaboration des couches minces (cellules à effusion) et la caractérisation de leurs propriétés structurales et électroniques. Le premier de ces dispositifs est centré autour d’un microscope à effet tunnel (STM) à température variable (Omicron VT STM) et d’un analyseur de photoélectrons avec des sources UV et rayons X. Les performances du STM ont été testées lors d’une étude sur le système Cu/Ag(111), pour lequel un important effet de ségrégation du substrat Ag a été observé. Dès le début de la croissance les îlots de Cu sont recouverts d’une monocouche d’atomes d’Ag provenant des régions érodées du substrat, bien visibles sur la figure 1 (Bocquet F. et al., Phys. Rev. B, 71, 2005 ). Le second dispositif expérimental comprenant la photoémission inverse fournit une mesure des états inoccupés de la bande de conduction. On peut ainsi accéder aux niveaux électroniques de part et d’autre du niveau de Fermi, ce qui permet en particulier d’identifier les mécanismes impliquant des transferts de charge. La figure 2 montre un exemple de densité d’états obtenue sur la phtalocyanine de zinc. Figure 2. Densité d’états proche du niveau de Fermi de ZnPc obtenue par photoémission directe et inverse. SCIENTIFIQUE 53 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Etude structurale des mécanismes de formation de monocouches supramoléculaires stabilisées par des liaisons hydrogène La croissance de molécules organiques sur une surface métallique résulte d’un équilibre subtil entre les forces intermoléculaires et les forces molécules-substrat. La substitution d’atomes d’hydrogène en périphérie d’une molécule bien connue par des atomes halogènes (Cl, F) permet de jouer sur cet équilibre. En effet la forte électronégativité de ces atomes renforce l’interaction avec le substrat mais aussi permet de créer des liaisons hydrogène entre molécules voisines. Nous avons étudié l’adsorption sur une surface d’Ag(111) de trois molécules: la phtalocyanine de zinc (ZnPc), qui est la molécule de référence, et deux de ses dérivés substitués: ZnPcCl8 et ZnPcF8. Pour des quantités correspondantes à une monocouche de ZnPc, on observe de larges domaines ordonnés le long de six orientations principales. Celles-ci résultent de la symétrie d’ordre 3 du substrat et des deux orientations possibles du réseau supramoléculaire (chiralité 2D). (Koudia M. et al., J. Phys. Chem. B, 110, 2006). Ces domaines correspondent à une phase 2D unique dont les paramètres structuraux sont déterminés par des interactions intermoléculaires de type van der Waals. temps. Trois phases bidimensionnelles distinctes apparaissent successivement, montrant l’influence déterminante des ponts hydrogène. De plus, à la fin de cette évolution, le désaccord de maille entre le réseau moléculaire et celui du substrat fait apparaître dans le cas de ZnPcCl8 des défauts autoorganisés signes de la relaxation d’une contrainte dans la couche moléculaire qui ne sont pas présents pour la molécule de ZnPcF8 (Oison V. et al., Phys. Rev. B, soumis). Le co-dépôt de deux molécules complémentaires capables de se reconnaître pour former des ponts hydrogène et s’autoorganiser en réseau 2D représente une voie très séduisante pour la nanostructuration. Nos premiers efforts dans cette direction ont consisté en l’étude des dépôts moléculaires de PTCDI et de tétrahydroxyquinone seuls, puis ensemble. Chacun forme des structures organisées par ponts hydrogène mais les deux molécules évaporées sur la même surface conduisent à une séparation de phases. Les différentes structurations étudiées ont fait l’objet d’une étroite collaboration avec l’équipe théorie (V. Oison). Les calculs détaillés utilisant la fonctionnelle de la densité sont un support indispensable à ces études; ils sont détaillés dans le rapport de cette équipe. Propriétés électroniques monocouches supra-moléculaires des La structure électronique de l’interface molécule-substrat reste une question très ouverte et nous avons abordé l’étude des propriétés électroniques des films ultra-minces de ZnPcCl8/Ag(111) par diverses spectroscopies: UPS, XPS, spectroscopie d’absorption des rayons X (NEXAFS), photoémission inverse (P. Amsalem et al., soumis, 2006 ). La photoémission de la bande de valence fait apparaître que la structure électronique de la première monocouche organique diffère sensiblement de celle du film épais (figure 4). Les mesures de travail de sortie indiquent un transfert de charge du substrat métallique vers les molécules, lequel est corroboré par l’observation d’un pic bien marqué très proche du niveau de Fermi, attribué au remplissage partiel du LUMO (lowest unoccupied molecular orbital), et par l’évolution des spectres NEXAFS. Figure 3. Deux domaines chiraux de molécules ZnPc assemblées par liaisons van der Waals Pour des taux de couverture voisins, les dépôts des molécules halogénées ZnPcF8 et ZnPcCl8 présentent une plus grande cohésion grâce à la formation de liaisons hydrogène entre molécules voisines de type C-Cl…H et C-F…H. Néanmoins alors que ces 2 molécules sont très voisines, elles présentent de nombreuses différences très intéressantes qui seront développées dans la partie « faits marquants » (Abel M. et al., ChemPhysChem, 7, 2006 ). Les dépôts de ZnPcCl8 présentent des effets cinétiques avec la formation séquentielle de 3 formes allotropiques. A température ambiante le dépôt évolue spontanément en fonction du 54 Figure 4. Spectres UPS de l’interface ZnPcCl8/Ag(111).Evolution de la densité d’états électroniques occupés proches du niveau de Fermi. NANOSTRUCTURATION RAPPORT D’ACTIVITE L’apparition d’états d’interface plus profonds dans la bande de valence ainsi que le dédoublement des niveaux de cœur du chlore caractérisent des interactions molécules / substrat significatives pouvant en conséquence influencer les liaisons intermoléculaires et donc les processus d’auto-assemblage. Enfin une étude sur le dopage de couches minces de ZnPc par le potassium (Giovanelli L. et al., soumis, 2006) a montré un remplissage progressif de la LUMO avec la concentration en K. Cependant, en contradiction avec les prédictions théoriques, aucune densité d’états au niveau de Fermi n’est détectée ; le système KxZnPc reste isolant pour 1<x<4. Pour x>2, l’examen de la bande de valence et des niveaux de cœur montre l’existence de deux phases distinctes correspondant à x=2 et x=4. MONOCOUCHES MOLECULAIRES AUTO-ASSEMBLEES SUR SILICIUM La méthode d’ auto-assemblage moléculaire est utilisée ici pour obtenir des nanostructures moléculaires, briques de base pour la réalisation de nano-composants. Dans le cas de ce travail on a pu réaliser des nano-domaines moléculaires grâce au phénomène de séparation de phase qui se produit lors de la co-adsorption, en phase liquide, de deux molécules d’alkyltrichlorosilanes de longueurs différentes sur Si/SiO2 (Desbief S., thèse 2006). L’étude des paramètres tels que le nombre d’atomes de carbone (C16-C30), la température et l’humidité a permis de mieux comprendre les mécanismes de croissance des îlots d’ alkyltrichlorosilanes. L’étude sur les C30 est inédite. Parmi les résultats importants on peut signaler: la diminution de la vitesse de croissance avec la température, le role crucial de l’humidité relative sur la cinétique et les modes de croissance, l’explication des modes de croissance des monocouches par un modèle de « Diffusion Limitée par l’Agrégation ». Sur cette base, on a analysé la séparation de phase et la formation des nano-domaines se produisant entre les alkyltrichlorosilanes C30 et Cn (n=16-20). Trois types de démixtion ont été obtenus : une séparation de phase « classique » par îlots de C30 dans une phase de molécules courtes, une démixtion originale sous forme de « filaments » dendritiques de C30 dans une phase de molécules courtes, et pour les couples C30/C18 et C30/C20 une séparation de phase originale sous forme de trous de molécules courtes dans une phase de C30 (figure 5). Cela est possible car les deux molécules croissent par îlots. Nos efforts ont également porté sur l’organisation de couches moléculaires à partir de molécules conjuguées possédant un cycle aromatique et sur la réalisation de nanodomaines moléculaires conjugués par séparation de phase avec des alkylsilanes. BILAN 2002 - 2006 Figure 5. Images de microscopie à force atomique (AFM) montrant les trois types de démixtion obtenus. AUTO-ORGANISATION DE NANOCRISTAUX A FACES DIFFERENCIEES L’objectif de ce thème est de montrer les possibilités d’une auto-organisation spontanée utilisant comme moteur la différence d’énergie de surface de deux faces cristallines, en présence d’additifs ou de substrats adaptés. L’oxalate de cuivre, système modèle, permet l’obtention de poudres de morphologies bien contrôlées (Jongen N. et al., J. Coll. Interface. Sci., 226, 189, 2000 ). Les particules microniques obtenues sont en réalité constituées d’un assemblage de nanoparticules élémentaires (Figure 6). Assemblée de nanobriques Surface hydrophobe Surface hydrophile nanobriques élémentaires Figure 6. Auto-assemblage de nanobriques élémentaires. Image AFM. Nous avons montré que ces nanoparticules peuvent s’assembler et se « reconnaître » par le biais de la différenciation énergétique. Ces premiers résultats nous ont amené à envisager la différenciation intrinsèque des faces cristallines comme puissant levier de nanostructuration tridimensionnelle. Par ailleurs suite à un travail sur la structuration réactive des alliages ZrAu et CeAu nous avons pu créer des agrégats nanométriques (Au, CeO2, ZrO2, Ce1-xZrxO2) aux propriétés catalytiques remarquables. SCIENTIFIQUE 55 RAPPORT D’ACTIVITE 2002 - 2006 Equipe projet Nanostructures semiconductrices épitaxiées Responsable Isabelle Berbezier Permanents Franck Bassani, chargé de recherche CNRS Luc Favre, maître de conférence, Université Paul Cézanne Antoine Ronda, ingénieur de recherche CNRS Directrice de recherche CNRS [email protected] Visiteurs, Postdoctorants, ATER Maurizio De Crescenzi, chercheur invité 2004 - 2005 Pierre-David Szkutnik, post-doc 2005-2006 Nelson Rowell, chercheur invité 2006 Doctorants thèses en cours Alim Karmous Jean-Pierre Ayoub Adrien Gouyé thèses soutenues Guillaume Pin (2006) Alina Pascale (2003) Alain Portavoce (2002) Fabien Volpi (2002) Thèmes de recherche Mécanismes de croissance (relaxation des contraintes, îlots, défauts) Diffusion et ségrégation des dopants ; influence de la contrainte Fabrication de composants modèles Propriétés structurales et magnétiques des alliages SiGeMn Nanostructuration de surface (instabilités, nanogravure FIB) Mots clefs Epitaxie par jets moléculaires, auto-assemblage, nanostructures semiconductrices, semiconducteurs magnétiques, nanostructuration, nanogravure, faisceau d’ions focalisés, croissance, nanoélectronique Publications les plus marquantes des 8 dernières années 1. Portavoce A. et al., Thin Solid Films, 380, 2000 (indice 24) 2. Berbezier I. et al., Journal of Physics-Condensed Matter, 14, 2002 (indice 20) 3. Filonov A.B. et al., Physical Review B, 57, 1998 (indice 18) Equipements spécifiques • Elaboration des nanostructures Salle blanche classe 10000 Banc de préparation des substrats (classe 100) Epitaxie par Jets Moléculaires (EJM) Ultra-vide ~ 10-11 Torr Sources solides, canon à évaporation, sources gazeuses • Caractérisation in situ Spectroscopie Auger (AES) Diffraction d’électrons de haute énergie en réflexion Spectroscopie de photo-électrons (XPS, UPS) Diffraction d’électrons de Basse énergie (LEED) • Caractérisation ex situ Microscopie en champ proche à l’air (AFM) Microscopie électronique en Transmission (en collaboration) Diffraction de rayons x (en collaboration) Photoluminescence (en collaboration) I(V), C(V) (en collaboration) BILAN SCIENTIFIQUE 57 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Partenaires industriels et publics Projets Européens : FORUM FIB Programme IST (2001 – 2005) SIGENET Programme RNT (2000 – 2004) CMCU (2001 – 2004) ST Microelectronics : CIFRE (2002 – 2005) NANO ALLIANCE 2002 – 2008 EPSRC UK UMIST / IRC (2001 – 2004) ANR PNANO « MEMOIRE » (2006 – 2009) ACI Nanosciences 2004 - 2005 ARCSIS (2006 – 2007) Collaborations scientifiques IRPHE Marseille (Fr) LASMEA, Univ. Clermond Ferrand (Fr) LPM – INSA Lyon (Fr) STMicroelectronics (Fr) Orsay Physics (Fr) Philips Electron Optics (Nl) IMEL Demokritos (Gr) Univ. Chypre, FORTH (Gr) FZJ – ISG Julich (D) Université de Stuttgart (D) Université de Manchester – (UK) Université de Rome (It) Université de Camerino (It) Université de Padoue (It) Université de Monastir (Tu) NRCC (Canada) Université de Harvard (USA) Université de Virginie (USA) Université de Tokyo (Japon) 58 NANOSTRUCTURES SEMICONDUCTRICES EPITAXIEES RAPPORT D’ACTIVITE Le but de notre activité est de déterminer les problèmes d’épitaxie bloquant la fabrication de nouveaux composants sur Si et de développer de nouveaux procédés / matériaux permettant de les résoudre. Pour cela, nous élaborons et caractérisons différents types de nanostructures à base de Si(Ge) dans les conditions d’atmosphère contrôlée de la microélectronique. Nous nous attachons principalement à corréler les mécanismes de croissance des structures à leurs propriétés physiques (structurales, morphologiques, électriques, optiques, …). Composants MOSFET Si contraint L’introduction de Si contraint et de Ge sur Si ou sur SOI dans les structures des transistors MOSFET représente un enjeu capital pour l’industrie microélectronique qui permettrait d’atteindre des gammes de fréquence supérieures au GHz. Les principaux problèmes qui limitent leur utilisation sont : i) la réalisation de substrats relaxés à haute teneur en Ge ; ii) la réalisation de dopages abrupts sur des couches très fines et iii) les densités de pièges dans des structures de taille nanométrique. Les recherches menées dans ce contexte visent à lever trois verrous technologiques : - Reprise d’épitaxie par MBE sur des substrats relaxés de SiGe (x compris entre 0,45 et 1) fabriqués par CVD ; - Caractérisation électrique des défauts dans des nanostructures SiGe / Si - Réalisation de dopages abrupts de type N (Sb) et de type P (B). Un exemple de structure MOSFET type n (Sb) est présenté Figure 1. La structure consiste en une couche Si0,8Ge0,2 en tension, dans laquelle est inséré un puits de Sb, épitaxiée sur un pseudosubstrat Si0,7Ge0,3 relaxé sur Si(001). 2002 - 2006 technologie ne pourra être utilisée dans l’industrie que si les conditions suivantes sont remplies : 1) mise en évidence de la stabilité dans le temps des caractéristiques mémoires (ce qui n’est pas le cas actuellement) ; 2) démonstration du confinement quantique des porteurs dans les NC et non pas aux interfaces ou dans les sous-oxydes formés autour des NC. Il est donc nécessaire de pouvoir parfaitement contrôler la taille, la densité et la position des NCs dans l’oxyde. L’originalité de notre approche est de localiser latéralement les NC grâce à des motifs nanométriques fabriqués soit dans l’oxyde tunnel soit dans le substrat, motifs servant aussi à homogénéiser la taille des NC. Dans cette étude, nous avons fabriqué des composants élémentaires (capacités, transistors) à partir des structures réalisées par MBE composées d’une grille en NC de Ge (ou de Si) insérée dans une couche d’oxyde. Le procédé consiste à déposer par épitaxie par jet moléculaire du Ge (ou du Si) amorphe sur une couche d’oxyde tunnel et d’obtenir des boîtes quantiques de Ge (ou de Si) isolées du substrat par recuit in situ à haute température. Le confinement et les propriétés électriques des boîtes quantiques sont étudiés par mesure globale ou locale (AFM et STM in situ). L’étude a permis de mettre en évidence l’action conjuguée du démouillage et de la cristallisation sur la formation des NC. Un gap de 1,8 eV a été déterminé pour les NC par spectroscopie tunnel à balayage. L’observation haute résolution par TEM met aussi en évidence l’uniformité parfaite de l’oxyde sous les NC. Des densités de 3.1012/cm2 ont été obtenues pour des tailles de NC de ~ 5 nm (Figure 2). Figure 2 20 nm Transistors à magnétiques Figure 1 Mémoire à nanocristaux de Ge Différentes approches ont été utilisées dans la littérature pour fabriquer des mémoires à nanocristaux (NC) de Si. Cependant cette BILAN spin et mémoires Les alliages de semiconducteurs magnétiques dilués présentent la possibilité d’obtenir une très grande cohérence de spin à des échelles de temps et d’espace intéressantes. Cette propriété ouvre de nouvelles routes pour l’intégration de composants de très grandes performances. La compréhension de ces SCIENTIFIQUE 59 Supprimé : des Supprimé : s Supprimé : s Supprimé : avant le dépôt de Ge (ou de Si) I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE nouveaux phénomènes représente un challenge poursuivi par une large communauté qui a une grande tradition en France en particulier pour les semiconducteurs magnétiques. Les essais d’intégration de cette nouvelle fonctionnalité dans des composants à base de SiGe sont encore très peu étudiés. Pour l’instant nous avons montré que la principale origine du ferromagnétisme observé était liée à la qui présence de clusters de Ge3Mn5, apparaissent dès que la concentration en Mn dépasse 1,5%. De telles structures ne permettent pas la réalisation de composants. Nous nous concentrons maintenant sur les procédés de croissance permettant d’augmenter la concentration en Mn dans des alliages SiGe. Nanostructuration des substrats Le but est de développer des procédés de nanostructuration des substrats soit artificiels soit spontanés en utilisant deux approches différentes. La première, est d’utiliser la gravure directe ultime du substrat pour réaliser des motifs nanométriques ou pour modifier localement la surface afin de créer des sites de nucléation préférentielle. La seconde de faible coût et à grande échelle consiste à créer par les mécanismes de croissance un motif nanométrique périodique et contrôlable par les paramètres expérimentaux. Les deux voies explorées sont : • Nanolithographie FIB Les motifs FIB sont fabriqués en collaboration chez des groupes étrangers. Les motifs sont effectués en utilisant des ions Ga+ qui polluent les substrats et nécessitent donc une étape de nettoyage. Un exemple de motifs FIB fabriqués sur substrat Si(001) avec une densité de 5.1010 /cm2 est présenté Figure 3. Le projet de cette étude est de coupler un ensemble UHV de colonnes d’ions focalisés (Si ou Ge) et d’électrons au bâti MBE existant afin de réaliser la nanostructuration du substrat et la fabrication des couches in situ sans risque de pollution. a b • Instabilités de croissance Le but est de créer une couche tampon nanostructurée (de Si ou de SiGe) afin d’organiser la croissance ultérieure des boîtes quantiques (BQ) de Ge. Les objectifs de cette étude sont de comprendre, contrôler, prédire et modéliser l’évolution des instabilités de croissance des couches de Si et de SiGe en épitaxie sur des substrats nominaux et vicinaux de Si(001) et Si(111). L’étude a permis d’ analyser les caractéristiques morphologiques des surfaces obtenues après dépôt ainsi que leur évolution dans le temps. Des lois d’évolution ont été extraites et comparées aux modèles théoriques. Deux exemples d’instabilités obtenues durant le dépôt de Si0,65Ge0,35 sur Si(001) plat et désorienté de 10° sont présentées respectivement Figure 4a et 4b. a b ]Î [110 ]Î [110 5 µm-1 Figure 4 Auto-organisation des nanostructures Dans le contexte de l’approche bottom–up de la nanoélectronique, le but est de fabriquer et de manipuler des objets nanométriques qui pourraient être intégrés dans un composant. Pour cela, il est nécessaire de déterminer les conditions expérimentales permettant le contrôle et la manipulation des nano-objets à l’échelle microscopique, ce qui repose sur la compréhension de leurs mécanismes de nucléation et d’auto-assemblage. L’étude a consisté à analyser les sites préférentiels de nucléation de BQ de Ge sur des surfaces nanostructurées. En particulier, nous avons analysé l’influence de : -la mise en paquet de marches monoatomiques ; - la présence de facettes ; - la présence de réseaux de trous ; la présence d’une couche tampon de SiGe sans et avec surfactant (respectivement Figure 5a et 5b). a b 200 nm Figure 3 Figure 5 60 NANOSTRUCTURES 5 µm-1 SEMICONDUCTRICES EPITAXIEES Supprimé : M RAPPORT D’ACTIVITE 2002 - 2006 Equipe Contraintes mécaniques dans des objets de petites dimensions Responsable Olivier Thomas Permanents Virginie Chamard, chargé de recherche CNRS Stéphanie Escoubas, maître de conférences, Université Paul Cézanne Marc Gailhanou, ingénieur de recherche CNRS Patrice Gergaud*, chargé de recherche CNRS Pierre-Marie Guérini, technicien, Université Paul Cézanne Christophe Guichet, maître de conférences, Université Paul Cézanne Stéphane Labat, maître de conférences, Université Paul Cézanne Jean-Marc Roussel, maître de conférences, Université Paul Cézanne Professeur, Université Paul Cézanne [email protected] Visiteurs, Postdoctorants, ATER Antoine Baldacci, postdoc STMicroelectronics 2003-2004 Shefford Baker (Cornell University), Prof. invité U. Paul Cézanne 2006 Guillaume Geandier, postdoc CNRS 2005-2006 Andrey Minkevich, postdoc U. Paul Cézanne 2005-2006 Benoit Panicaud, postdoc STMicroelectronics 2006 Doctorants thèses en cours Mohammed Benoudia MRES Michel Eberlein CIFRE atmel Bruno Imbert CIFRE Philips David Ney CIFRE ST Vladimir Vidal CIFRE INEL thèses soutenues Audrey Loubens EMSE (2006) Makram Megdiche Tunisie (2006) Christian Rivero CIFRE ST (2005) Thèmes de recherche Contraintes mécaniques dans des objets de dimension réduite : origine, relaxation, détermination Mots clefs Contraintes, déformations, élasticité, plasticité, dislocations, films minces, nanostructures, multicouches, réactivité, diffusion, métaux, semi-conducteurs, siliciures, diffusion des rayons X, cohérence, synchrotron, Monte Carlo, dynamique moléculaire Publications les plus marquantes des 8 dernières années 1. Thomas O. et al.- Phys. Rev. Lett., 90, (2003) (indice : 23) 2.. Labat S. et al.- J. Appl. Phys., 87, (2000) (indice : 22) 3. Chamard V. et al.- Appl. Phys. Lett., 79, (2001) (indice : 14) Equipements spécifiques Diffraction de rayons X - Deux diffractomètres 4 cercles dont un monté sur une anode tournante 18 kW - Un diffractomètre deux cercles. Optiques RX pour mesures haute résolution. Chambres en température sous vide. Banc de mesure de courbure en température et sous vide. Cluster de PC. *au CEA depuis le 1 mars 2006 BILAN SCIENTIFIQUE 61 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Partenaires industriels et publics STMicroelectronics, ATMEL, Philips, Freescale, ANR PNANO, Projet plateforme caractérisation CIM-PACA, Plateforme MicroPackS, Réseau RMNT Stressnet, NASA, LTP (ESRF, Desy, U. Linz) Collaborations scientifiques GDR Relax, Soleil, LTPCM, LMGP, L2MP, CRMCN, CMP Gardanne, CEA Saclay, CEA leti, CMCU Tunisie, U. Dortmund, U. Illinois, U. Cornell, U. Debrecen, U. Leoben, MPI Stuttgart, IBM Watson 62 CONTRAINTES MECANIQUES DANS DES OBJETS DE PETITES DIMENSIONS RAPPORT D’ACTIVITE De nombreux travaux ont clairement établi que les propriétés mécaniques des objets de petite dimension sont différentes de celles des matériaux massifs. Les mécanismes à l’origine d’une telle modification font l’objet de débats. Parce que la limite élastique augmente considérablement lorsque la taille diminue, les champs de déformation/contrainte dans des petits objets sont généralement très importants et donnent lieu à des modifications sensibles des propriétés physiques. L’équipe se consacre à l’étude des contraintes mécaniques dans des microet nano-objets en utilisant principalement la diffusion des rayons X (source de laboratoire ou bien rayonnement synchrotron), les mesures de courbure et la simulation numérique. Ce travail s’inscrit dans le domaine des nanosciences avec une forte interaction avec le monde de la microélectronique. L’activité de l’équipe s’articule autour de deux thématiques scientifiques et un développement méthodologique qui concerne la détermination des déformations locales. Cette approche, rendue nécessaire par l’étude d’objets de plus en plus petits, fait l’objet d’une page « faits marquants ». PROPRIETES MECANIQUES DE FILMS MINCES ET NANOSTRUCTURES Les films minces et nanostructures (dimension caractéristique : 10–1000 nm) jouent un rôle essentiel dans de nombreux dispositifs. Parce que ces films sont adhérents à un substrat, ils sont soumis à des contraintes souvent très élevées. Ces contraintes sont à l’origine de défaillances (électromigration, fracture, décohésion, extrusion de matière, formation de cavités, …) qu’il est nécessaire de prévenir pour améliorer la fiabilité des dispositifs. La compréhension des propriétés mécaniques à ces échelles reste encore très incomplète. La contribution respective du glissement des dislocations, du fluage diffusionnel ou du glissement aux joints de grains reste encore l’objet de débats ainsi d’ailleurs que la modification nécessaire de ces mécanismes dans un milieu confiné. Nous nous sommes intéressés ces dernières années à deux systèmes : Cu et Al. Le Cu est devenu le matériau d’interconnexion en microélectronique à cause de sa faible résistivité. D’autre part sa très forte anisotropie élastique le rend particulièrement intéressant pour étudier les interactions entre grains. Dans le cadre du réseau national RMNT STRESSNET nous avons étudié des réseaux de lignes de Cu damascène par diffraction des rayons X en fonction de la température (figure 1). Ces mesures ont été effectuées en utilisant le rayonnement synchrotron sur la ligne BM32 de l’ESRF. La figure 1 montre l’absence quasicomplète de relaxation plastique dans ces lignes, en opposition avec des films pleine plaque de même épaisseur. Ce résultat s’interprète comme une conséquence de l’état triaxial de contraintes qui tend à diminuer la BILAN 2002 - 2006 contrainte résolue sur les plans de glissement [Baldacci A. et al., Proceedings of the 34th European Solid State Device Research Conference, p. 105, 2004.]. Des simulations par éléments finis ont permis de prédire l’évolution des contraintes en fonction des dimensions des lignes et de calculer les gradients de contrainte intra-lignes qui restent inaccessibles à l’expérience. Ces études se poursuivront vers des lignes encore plus étroites dans le cadre de l’ANR PNANO CRISTAL récemment acceptée (août 2006) et pilotée par le CEA-LETI. Figure 1. Contraintes en fonction de la température dans des lignes de Cu de largeur 0,3 microns. La quasi-réversibilité de l’évolution thermique est une conséquence du caractère triaxial des contraintes. Dans le cadre d’une collaboration suivie avec l’université Cornell nous nous intéressons à des films minces de Cu possédant une double orientation cristalline (111) et (100). Il s’agit d’un système modèle pour comprendre les interactions entre grains pilotées par l’anisotropie élastique et la forme des grains. Les mesures de diffraction X en température réalisées à CHESS (synchrotron Cornell) montrent un comportement thermomécanique différent dans les deux types de grains. Pour modéliser ce comportement, nous utilisons la méthode des éléments finis en s’attachant tout particulièrement au rôle des zones maclées. D’autre part nous avons réalisé une campagne de mesure de micro-diffraction en faisceau blanc à l’ALS de Berkeley pour évaluer les déformations locales dans des films de Cu à double texture. Les résultats sont en cours d’analyse. Nous nous intéressons également (coll. Cornell) à des films d’Al d’orientation (110). Les premières expériences réalisées à CHESS montraient que la plasticité anisotrope mise en évidence dans les films monocristallins Al (110) n’était pas visible dans les films bicristallins. Cette plasticité anisotrope se modélise bien à partir des systèmes de glissements connus dans l’aluminium. Plus récemment nous avons étudié sur ID01 à l’ESRF des films bicristallins à taille de grains variable. Les résultats obtenus montrent clairement que l’interaction entre grains joue un rôle primordial dans l’apparition de l’anisotropie des contraintes. SCIENTIFIQUE 63 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Les films épitaxiés de semi-conducteurs III-V constituent des objets modèles pour l’étude de la relaxation des contraintes. Une collaboration avec le LAAS et d’autres membres de TECSEN est en cours sur ce sujet. CONTRAINTES, REACTIVITE DIFFUSION ET dimensionnelles présentant une rugosité initiale de forme sinusoïdale. On montre en particulier qu'il existe une longueur d'onde seuil en deçà de laquelle il y a raidissement des interfaces Cu/Ni (voir figure 2) [Roussel J.-M. et al, Phys. Rev. B 73, 85403 (2006)]. Le développement des contraintes et leur influence sur la cinétique au cours de l’interdiffusion entre deux éléments restent mal compris. D’autre part il parait intéressant de considérer ce problème dans des systèmes de petite taille car les contraintes peuvent y être plus élevées. Nous nous intéressons à deux types de systèmes : 1) des multicouches constituées d’éléments miscibles : Mo/V, Cu/Ni, Si/SixGe1-x. Ce sont des systèmes modèles dans lesquels il existe un effet de taille modéré (qqs %) mais des propriétés de cohésion et d'élasticité contrastées. 2) des couches minces métalliques (Pd, Co, Ni) sur Si qui forment, par diffusion réactive, des siliciures. Les contraintes développées lors de la siliciuration peuvent être considérables. Ces systèmes sont d’un grand intérêt pour la microélectronique. Contraintes et interdiffusion dans des systèmes multicouche miscibles Dans les trois systèmes étudiés Cu/Ni, Mo/V (coll. Univ. Debrecen, programme Balaton) et Si/SixGe1-x (coll. CRMCN) l’étude par diffraction des rayons X (ou des neutrons) aux petits et aux grands angles sur des empilements périodiques permet une très grande sensibilité aux profils de paramètre et de concentration. Un effort important porte sur la simulation des diagrammes de diffraction et leur évolution après recuit afin d'identifier les modes d'interdiffusion propres à ces matériaux périodiques dont les motifs sont de petite taille (qqs plans atomiques). A cette échelle, il est essentiel de mener à bien des simulations « atomistiques » pour modéliser à la fois l'interdiffusion et les déformations associées. Pour cela, nous avons recours à des outils adaptés tels que les simulations Monte-Carlo cinétique permettant de décrire l'interdiffusion sur des plages de temps expérimentales avec des mécanismes de diffusion réalistes (mécanisme lacunaire). La dynamique moléculaire basée sur des potentiels semiempiriques (liaisons fortes) vient compléter la description et nous renseigne sur les champs de déformation dans ces multicouches. Ces simulations « atomistiques » sont utilisées pour calculer des diagrammes de diffraction instantanés et permettent une confrontation directe avec l'expérience. Les trois systèmes étudiés ont en commun une forte asymétrie du coefficient de diffusion (forte dépendance avec la concentration des coefficients de diffusion). Ceci conduit à des profils de concentration « non fickiens ». Cette asymétrie déjà soulignée par le groupe de Debrecen peut donner lieu au redressement transitoire d’un profil de concentration initialement étalé. Récemment, nous avons généralisé ce phénomène à des interfaces tri- Figure 2. Simulations Monte Carlo (séquence où seuls les atomes de Ni sont représentés dans un plan (111) d'une bicouche Cu/Ni). Les interfaces tendent à se raidir bien que les éléments soient miscibles. Développement des contraintes lors de la siliciuration Depuis le début des années 1980, les siliciures sont utilisés en microélectronique comme contacts source, drain et grille. La réduction continuelle de la dimension des dispositifs a imposé des changements successifs de matériaux : WSi2 puis TiSi2 puis CoSi2 et maintenant NiSi pour les générations les plus avancées (65 nm et au-delà). Les contraintes générées par la réaction de siliciuration deviennent un enjeu important pour le contrôle de la mobilité des porteurs de charge dans le canal. Les travaux menés sur les siliciures de Co et Ni se font en collaboration étroite avec STMicroelectronics (Rousset et Crolles) et avec L’Alliance Crolles2. Une collaboration a également démarrée avec l’Ecole des Mines de Paris sur les aspects simulation. Les études que nous avons menées précédemment sur le siliciure de Pd nous ont permis de mettre en évidence l’influence déterminante de la microstructure du siliciure sur le développement des contraintes [Gergaud P. et al, Appl. Phys. Lett. 94, 1584 (2003)]. Plus récemment nous avons mis au point un dispositif expérimental original permettant l’analyse in situ et en temps réel des contraintes et de la structure lors d’une réaction en phase solide. La figure 3 montre le résultat de cette expérience couplant diffraction X et courbure réalisée au synchrotron LURE sur un film de Ni [Rivero C. et al, Appl. Phys. Lett. 87, 041904 (2005)]. Un des enjeux des années à venir va consister à déterminer les contraintes locales – de grain à grain – dans ces films de siliciure. 64 CONTRAINTES MECANIQUES DANS DES OBJETS DE PETITES DIMENSIONS RAPPORT D’ACTIVITE 2002 - 2006 l’inhomogénéité des contraintes dans des films polycristallins. Un montage de microdiffraction en faisceau blanc est maintenant opérationnel depuis juillet 2006 sur la ligne BM32 de l’ESRF. La disponibilité de 10% du temps de faisceau de BM32 pour cette technique devrait permettre d’obtenir rapidement des résultats importants sur nos thématiques (contraintes locales dans des films polycristallins, …). Enfin un projet de ligne dédiée à la microdiffraction de Laue (P. Goudeau, O. Thomas) a été accepté par le comité scientifique de Soleil (novembre 2005). LURE H10 2°C/mn Diffraction cohérente des RX Figure 3 Mesures couplées de courbure et de diffraction pendant la réaction d’un film de Ni de 13 nm avec un substrat Si (001). Les variations de force sont clairement corrélées à l’apparition des différentes phases. DEFORMATIONS LOCALES ET CONTRAINTES C’est la plupart du temps la contrainte moyenne qui est déterminée expérimentalement (courbure, diffraction). Or les contraintes sont en général très inhomogènes dans les objets de petites dimensions à cause de la proximité des surfaces et interfaces. Il apparait de plus en plus essentiel de connaitre avec la meilleure résolution spatiale possible (nm) les contraintes locales car ce sont elles qui bien souvent déterminent les propriétés ou bien sont responsables de la génération de défauts. L’équipe a mis beaucoup d’efforts ces dernières années sur le développement de méthodes non destructive pour déterminer les déformations à une échelle aussi locale que possible : diffraction cohérente des rayons X, microdiffraction et calculs par éléments finis. L’arrivée dans l’équipe de V. Chamard, spécialiste de diffraction cohérente, a considérablement renforcé cette activité. Microdiffraction X en faisceau blanc Une autre approche consiste à utiliser un faisceau dont la longueur de cohérence est supérieure à la taille de l’objet à étudier. La mesure de cartographies du réseau réciproque montre une très grande sensibilité aux déformations locales (~10-4). La confrontation expérience/simulation à partir du champ de déplacement calculé par éléments finis permet de valider le champ de déformation/contrainte dans la structure. La mise au point de cette approche a fait l’objet de la thèse d’A. Loubens (cotutelle Ecole des Mines de St Etienne) et se poursuit dans le cadre d’une collaboration avec Atmel [Eberlein M. et al, Mater. Res. Soc. Symp. Proc. Vol. 913 (2006)]. La nécessité d’avoir recours à un modèle pour le champ de déplacement provient de l’impossibilité d’inverser le diffractogramme. C’est le fameux « problème de la phase ». Sous certaines conditions il est possible de retrouver numériquement la phase perdue à partir d’algorithmes. Des résultats spectaculaires ont été obtenus sur la reconstruction de la forme de petits objets non déformés. Le problème qui nous intéresse - la reconstruction d’objets déformés - est plus difficile : nous avons récemment retrouvé le champ de déplacement de tels objets (voir « fait marquant »). AUTRES THEMATIQUES Mesure des relations de dispersion des processus dynamiques de surface par spectroscopie de corrélation de photons X : surfaces liquides, croissance de nanostructures par érosion ionique, diffusion sur surfaces métalliques / Anisotropie magnétique et déformations élastiques dans des films minces / Modélisation par tracé de rayons et optimisation d'un mini instrument de diffraction X et fluorescence pour le spatial (CheMin, mission MSL2009) / Ségrégation dans des films épitaxiés / Premiers stades de la croissance / Equation d’état de métaux à haute pression / Défauts dans SiC : modélisation. Une première approche pour conférer à la diffraction des rayons X une résolution spatiale consiste à utiliser des microfaisceaux (submicroniques). A ces échelles les mouvements goniomètriques sont à proscrire et c’est la méthode de Laue qui est utilisée. Cette méthode mise au point aux USA (ALS, APS) n’existait pas encore en Europe. Elle a permis d’obtenir des résultats spectaculaires sur BILAN SCIENTIFIQUE 65 RAPPORT D’ACTIVITE 2002 - 2006 Equipe Défauts étendus et nano-objets : structure et thermodynamique Responsables Bernard Pichaud Professeur UPCAM [email protected] Personnel Jany Thibault-Penisson Directrice de Recherche CNRS [email protected] Claude Alfonso, maître de conférences, Université Paul Cézanne Pierre Bénigni, ingénieur de recherche CNRS Frank Bocquet, maître de conférences, Université Paul Cézanne Nelly Burle, maître de conférences, Université Paul Cézanne Ahmed Charaï, professeur, Université Paul Cézanne Luc Charrin, professeur, Université Paul Cézanne Marie-Vanessa Coulet, chargé de recherche CNRS Yvonne Fouque, assistant ingénieur CNRS Myriam Dumont-Nicolas, maître de conférences, Université Paul Cézanne Schahrazade Hassam, maître de conférences, Université Paul Cézanne Georges Mikaelian, technicien, Université Paul Cézanne Maryse Lancin, directeur de recherche CNRS Guillaume Radtke, chargé de recherche CNRS Marie-Christine Record, professeur, Université Paul Cézanne Gabrielle Régula, maître de conférences, Université Paul Cézanne Jacques Rogez, directeur de recherche CNRS Wahib Saikaly, ingénieur de recherche, Université Paul Cézanne Michael Texier, maître de conférences, Université Paul Cézanne Christian Vanni, technicien, Université Paul Cézanne Visiteurs, Post-doctorants, ATER Geoffrey Antis, Professeur invité 2005 Youssef Benarchid, Post-Doc 2004-2005 Christian Curtil, ATER 2002-2003 Gonzalo Gonzales, invité 2006 Grzegorz Jurczak, Post-Doc, 2006Abdelkebir Lamzatouar, ATER 2003-2005 Michael Texier, Post-Doc 2005-2006 Doctorants thèses en cours Laurent Alexandre Alexandre Berche Nicolas Bernier Marie-Faith Fiawoo Abdelkhaled Kammouni Oswaldo Novello-Peralta thèses soutenues Ange Ahoussou (2006) Kahoutar Ardhaoui (2006) David Boa (2006) Chovelon Eurydice (2003) Rachid El Bouayadi (2003) Hélène Gailhanou (2005) Hind Hamma (2005) Hosni Idrissi (2006) Elisa Leoni (2004) Elisabetta Romano (2005) Hélène Varlet (2006) Wilfried Vervisch (2005) Thèmes de recherche Mécanismes de relaxation de systèmes contraints, nanophases, nanodomaines, thermodynamique dans des conditions extrêmes, matériaux pour l’énergie et l’environnement Mots clefs Structure et Ingénierie des défauts, relaxation de films minces, élaboration et métrologie de nano-objets, Microscopie Electronique Quantitative, LACBED, CBED, Thermodynamique, Stabilité BILAN SCIENTIFIQUE 67 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Publications les plus marquantes des 8 dernières années 1. Gavillet J. et al., Carbon, 40, 2002 (indice 45) 2. Mangelink D. et al., J. Appl. Phys., 84, 1998 (indice 30) 3. Charai A. et al., Acta Mat., 48, 2000 (indice 28) Equipements spécifiques Traitements thermiques jusqu’à 2400K. Evaporation UHV contraintes in-situ. Imagerie X. Utilisation des Microscopies électroniques, Ultra Haute résolution, EELS, EDS, MEB , nanousinage FIB, Calorimétries et micro-calorimétries, Analyse thermique différentielle et thermogravimétrique. Spectrométrie de masse d’effusion. Partenaires industriels et publics STMicroelectronics, ATMEL, IBS, CEA, LETI, ONERA, ANDRA, BRGM, SME, ARCELOR, CP2M, Plateforme caractérisation CIM-PACA Collaborations scientifiques GDR ME quantitative, GDR Nomade, GDR Connectube, Réseau MET PACA, CEMES, LAAS, MADIREL, MECASURF, CRMC-N, Univ. Grenoble, Univ. Milan, CNR IMM Bologne, Univ. Liège, RWTH Aaren, Univ. Vienne, Univ. Manchester, IMT Chernogolovka, ICPM Moscou, ANR nanocafon (Thiais, Orsay, Orléans), LMGP Grenoble, GES Montpellier, Univ. Erlangen, LPMC Montpellier, ISMAN (Chernogolovka, Russie), Beijing National Laboratory for Condensed Matter Physics (Chine), LPMCN Lyon 68 DEFAUTS ETENDUS ET NANO-OBJETS RAPPORT D’ACTIVITE Notre activité est basée sur la similitude des problématiques qui se posent aux défauts étendus et aux nano-objets. L’assemblage d’atomes en faible nombre ou dans des structures 2D, 1D fait intervenir les effets de surface et/ou de ligne, qui modifient profondément les conditions de stabilité : la structure et la thermodynamique de ces assemblages s’en trouvent souvent transformées. Ainsi, les énergies de ligne, de surface, d’interface, de faute d’empilement et les contraintes mécaniques issues d’assemblages influent sur les équilibres des systèmes produisant des structures et des propriétés nouvelles. Ces effets se rencontrent aussi bien dans les matériaux pour les applications en microélectronique, opto-électronique, conversion de l’énergie, les matériaux de structure ou en condition extrême (fission, fusion). MECANISMES DE RELAXATION SYSTEMES CONTRAINTS DE Premiers stades de la relaxation d’hétéroépitaxie de semiconducteurs Pour mémoire : étude de la relaxation de structures SixGe1-x/Si par imagerie X et microscopie électronique (coll. V. Vdovin ICPM Moscou et CRMC-N) Les films de Ga1-xInxAs déposés sur GaAs (111) vicinal (coll. LAAS) se relaxent plus difficilement que dans l’orientation (100). Cette relaxation a été étudiée en associant la diffraction X et la microscopie électronique (Varlet H. et al. Physica E, 2004). La relaxation est anisotrope et se produit majoritairement dans la direction de coupe du substrat par l’émission de dislocations dissociées, de fautes d’empilement ou de micromacles. Relaxation au cours d’un amincissement pour la MET. Contraintes dans les composants (Coll. ST micro.) La Diffraction Electronique en Faisceau Convergent (CBED) est une des techniques de choix pour l’étude des contraintes et particulièrement dans les composants micro électroniques. Elle nécessite l’amincissement des échantillons pendant lequel les objets contraints se relaxent produisant un état de déformation mesuré différent de celui initialement présent. Fig. 1 Simulation FEM d’une relaxation et dédoublement des lignes de HOLZ 2002 - 2006 microscopie électronique et mesure de courbure, qu'aucune contrainte n’apparaît lors du dépôt Ag/Cu(111). En revanche, lors du dépôt de Cu/Ag, de très fortes contraintes (1GPa) s'établissent, puis relaxent au-delà de 3 nm. La théorie usuelle de la relaxation n’explique pas ces observations. Des études, en STM, du dépôt de Cu sur monocristal Ag(111), révèlent une complexité inattendue des mécanismes mis en jeu. Pour quelques monocouches déposées, une forte ségrégation d'Ag conduit à l'encapsulation des premiers îlots nanométriques de Cu et à l'abrasion simultanée des plans de surface du substrat (Bocquet F et al. Phys. Rev. 2005). Les calculs de dynamique moléculaire confirment les expériences (effet moteur de la contrainte couplée aux énergies de surface). Structure de cœur des défauts La méthode des phases géométriques (Hÿtch et al. Nature 2003) a permis de mettre en évidence les champs de déformation autour de dislocations isolées dans Si et de remonter à la mesure des constantes élastiques locales. Elles est étendue à une dislocation dans un joint de grains de Ge (Hÿtch M.et al.,Phil. Mag. 2006). La structure observée des joints asymétriques dans le Ge est plus complexe que celle des joints symétriques mais, peut encore s’analyser en termes d’unités structurales. Le joint asymétrique est généralement décomposé en facettes dont l’une au moins est la mâcle (111) de plus basse énergie. La dissociation peut être assez large pour faire apparaître un nanocristal parfait entre les facettes. Ce joint a donc une certaine épaisseur qui doit avoir des conséquences sur ses propriétés mécaniques, chimiques et électriques. Cette étude va trouver son plein développement avec l’arrivée, dans le cadre de CIM PACA, du microscope corrigé. Dislocations dans SiC-4H Les dislocations et les fautes d’empilement ont des conséquences très néfastes sur les dispositifs à base de SiC-4H. Ces défauts ont été introduits de façon contrôlée et ont été développés en compression, dans la gamme de 400°C-700°C dans SiC-4H dopé N. La déformation se produit par nucléation et croissance de doubles fautes d’empilement qui forment des bandes de SiC cubique (3C) dans la matrice. Elles sont tirées par des partielles Si(g) (Regula G. et al. Phil. Mag. Lett. 2005; Texier M. et al. Phil. Mag. Lett. 2006) Fig. 2 Double faute d’empilement: bande cubique (3C) dans la matrice 4H 300 nm L’étude de clichés CBED et leur simulation ainsi que la simulation (FEM) de la relaxation permettent d’évaluer les contraintes d’origine. Relaxation du système Cu/Ag Dans les multicouches nanométriques Ag/Cu, on a montré en couplant diffraction X, BILAN Dans toute la gamme de température, la vitesse de propagation des paires de dislocations 30°Si(g) a été mesurée directement. Ce travail établit une corrélation forte entre la structure des défauts et leur mobilité. SCIENTIFIQUE 69 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE La contrainte est la principale force motrice de la propagation, des effets thermodynamique et/ou électronique interviennent également. Pour mémoire : propriétés électriques des dislocations dans Si (Feklisova O.V. et al. Phys. Stat. Sol. 2005) STABILITE DE NANO-PHASES ET NANO-DOMAINES Croissance de nanotubes de carbone influence du co-catalyseur (coll. ONERA) (Gravillet J. et al. Carbon 2002) La quantité et la morphologie des monotubes obtenus par arc électrique (GDPC-Montpellier) en utilisant un catalyseur Ni contenant différentes proportions (0 à 80% atomique) de terres rares (TR = Y, Ce, La) dépendent de la concentration en terre rare et de l’élément. L’ajout de 20% de TR augmente le rendement avec un effet particulièrement marqué pour Ce. La poursuite de l’étude confirme le rôle central du carbure de TR se formant en surface de la goutte liquide de Ni sur le mécanisme de germination des monotubes. Les rôles respectifs de la tension de surface, de la formation de carbures et de la tension de vapeur ont été précisés. Une thèse est en cours (M-F. Fiawoo: contacts nanotubes/catalyseurs Si/SiO2). Nanocristaux de Si pour l’optoélectronique (STREP Nanophoto) Le confinement quantique au sein de nanocristaux de Si (nc-Si) est intéressant pour les applications optoélectroniques et photovoltaïques. La croissance par PECVD permet d’envisager la production de nc-Si de façon économique à condition de contrôler la relation croissance/morphologie. Dans le cadre du STREP Nanophoto, les couches de nc-Si ont été caractérisées en MET et MET-HR. La structure des nanocristaux de Si est colonnaire au sein d’une matrice amorphe. La taille des nanocristaux et l’homogénéité de la couche croissent avec la teneur du plasma en SiH4. Nanocavités induites par implantation d’ions gazeux dans des matériaux à base de silicium L’implantation d’ions He dans le Si monocristallin produit des nanocavités qui peuvent jouer un rôle de piège pour les impuretés introduites dans le matériau lors de la fabrication de composants. En particulier, il a été montré que l’Ag se solidifie dans sa phase métallique pure dans les cavités, en parfaite épitaxie avec le réseau du Si. Une autre application (ANR nanocafon) consiste à créer des matériaux à faible permittivité diélectrique en implantant du Xe ou du Kr dans du SiO2 et d’autre part de réaliser des jonctions p-n courtes (30nm). Cette ingénierie de défauts nécessite une bonne connaissance de la croissance des cavités accessible par la microscopie électronique et la diffusion X aux petits angles (SAXS) pour l’aspect cinétique de la formation des cavités. Nanoprécipitation dans les alliages Les aciers multiphasés présentent des résistances mécaniques intéressantes si l’on durcit 70 DEFAUTS ETENDUS leur matrice ferritique à l’aide de Ti, Nb ou V par précipitation nanométrique dans le matériau. Pour comprendre l’influence du procédé d’élaboration sur les propriétés mécaniques de l’acier, il est indispensable de caractériser les composants de la micro/nanostructure par TEM et nano-analyses (Saikaly W. et al. Rev. Met. 2003) et d’identifier par modélisation les nano-précipités qui participent significativement à l’augmentation de la résistance (coll. ARCELOR) Dans les alliages à durcissement structural la taille des nano-précipités a une influence déterminante sur la thermodynamique (limite de solubilité) et sur les cinétiques de transformation notamment en conditions anisothermes. Pour caractériser ces effets, on utilise des techniques complémentaires de métrologie des nano-objets et de leur cinétique de transformation (micro-calorimétrie, diffusion centrale X in situ, SAXS, TEM, HRTEM, EDX, sonde tomographique atomique (coll. W. Lefebvre Rouen). Une modélisation thermodynamique prédit l’évolution d’une population de nano-précipités dans des conditions anisothermes pour des systèmes Al-Si, Al-Sc et Al-Zn-Mg (précipitation durcissante d’une tôle soudée) (Dumont M. et al. Acta Mat. 2005) Nanopoudres Les nanopoudres ont une réactivité remarquable. La morphologie de plusieurs poudres d’aluminium, pour la pyrotechnie, a été étudiée (coll. MADIREL, Soc. SME). Pour chacune, l’aire spécifique, la taille, la structure et la composition chimique ont été caractérisées par calorimétrie, microscopie électronique et diffraction X. On observe bien l’influence de la réduction de taille sur les propriétés thermiques (réactivité, abaissement du point de fusion) et structurales du système. La surface de nano-cristallites d’anatase (TiO2), dans le domaine 8-200nm, présente un excès d’énergie. Une enthalpie de surface 0.47 J/m2 a été obtenue, cette valeur est indépendante de la taille des particules. THERMODYNAMIQUE : DU CLASSIQUE AUX EXTREMES Ces études visent à la compréhension des interactions et des équilibres entre phases à travers les propriétés thermodynamiques de systèmes complexes. Ceci nécessite des études calorimétriques et structurales pour en extraire les paramètres enthalpique et entropique en trois étapes : acquisition de données, modélisation et optimisation. Elles aboutissent à une représentation graphique sous forme de diagramme de phases. Systèmes en pression La pression a été introduite dans les enthalpies libres de phases condensées selon trois axes : - Optimisation des données existantes de fonctions thermodynamiques d’où sont déduits les diagrammes de phases jusqu’à quelques dizaines de GPa (code Thermo-Calc©, Ag-Cu, Cu-Ni, Fe-Co et Si-Ge). Un nouveau formalisme d'enthalpie libre pour les systèmes magnétiques est proposé pour le Ni pur. ET NANO-OBJETS RAPPORT D’ACTIVITE - Pour les pressions extrêmes de l'ordre du TPa les équations Hugoniot sont transformées en équations d’état isothermes en utilisant un nouveau potentiel interatomique pour les systèmes cubiques (type liaisons fortes approximé au second moment TB-SMA). - Enfin, a été abordé le calcul des équilibres entre phases de systèmes sous contraintes. Systèmes métalliques - Les alliages Mg-Zn très légers sont intéressants pour l’industrie automobile ou l'aéronautique, mais leur tenue mécanique laisse à désirer. L'ajout de terres rares palie ce défaut. L'étude thermodynamique complète du système La-Mg-Zn a été alors entreprise : de la mesure à l'optimisation. La caractérisation des phases est réalisée par DRX, MEB, EDX, et calorimétrie de dissolution (enthalpies de formation). Des calculs ab-initio sont effectués et les valeurs d'enthalpies de formation calculées sont comparées à celles déterminées par l'expérience. Les grandeurs manquantes sont ainsi déterminées et optimisées. - Les antimoniures de métaux de transition possèdent d'intéressantes propriétés thermoélectriques. Les systèmes Bi-Sb-Fe et Pb-Sb-Fe mettent en compétition dans la phase liquide des interactions à la fois attractives et répulsives. Le concept de "bras" comme entité de base dans la configuration a été généralisé dans la modélisation de ce liquide particulier. - Pour mémoire : diagrammes de phase et fonctions thermodynamiques d'alliages de brasage à bas point de fusion (Ag-Au-Pb en cours d'optimisation). Transitions de phases liquides covalents dans les Dans les alliages ternaires à base de tellure pour le stockage de données (DVD-RAM) nous avons montré que l’ordre local de la phase liquide était directement lié à la capacité de stockage. Du point de vue fondamental nous avons montré, dans Ge0.15Te0.85 qui présente une anomalie de densité au dessus du point de fusion (Coulet M-V. et al. Phys. Rev. B. 2005), que la contraction de volume était liée à une augmentation du nombre de voisins autour du Ge et du Te (distorsion de Peierls). Pour mémoire : étude de la transition métal/isolant dans Se supercritique (Coulet M-V. et al. J. Chem. Phys. (2003). (coll. CRMCN, Univ. Liège) Systèmes d'oxydes Les oxydes présentent des liaisons ioniques et covalentes au sein d'une même phase. La thermodynamique traduit cette singularité. - Les conducteurs ioniques vitreux à alcalins mixtes ont une conduction qui diminue très fortement lorsque deux formateurs covalents sont présents. Nous avons montré sur les systèmes Na-K-SiO2-B2O3-P2O5 qu’une explication basée sur l’évolution de la mobilité des espèces doit être envisagée. - Les silices hydrothermales (élaboration MADIREL) ont des propriétés d’adsorbtion remarquables. Métastables dans les conditions normales, leurs stabilités relatives obtenues par comparaison de leurs enthalpies de dissolution BILAN 2002 - 2006 en bains acides ont permis la détermination de leur diagramme de phase. - Les propriétés diélectriques de HfO2 amènent l’industrie microélectronique à utiliser ce composé en contact avec le Si. La réactivité en température de l’oxyde avec le Si est donnée par son enthalpie libre de formation. Nous en déterminons la part prépondérante enthalpique par calorimétrie de dissolution à 1073K. MATERIAUX POUR L’ENERGIE Fusion : Interaction hydrogène/plasma Parmi les problèmes posés par les matériaux face au plasma dans les tokamaks la rétention de deutérium est un des plus cruciaux. Le couplage de la microscopie électronique et de l’analyse de pertes d’énergie d’électrons, permet de comprendre comment l’atome de deutérium réagit chimiquement dans son environnement d’atomes de carbone. L’allure du spectre EELS dépend directement de l’état d’hybridation des carbones, à savoir sp2 (tricoordonné, graphite) ou sp3 (tétra-coordonné, diamant). A partir des spectres EELS collectés, on peut accéder au rapport sp2/sp3 et ainsi étudier les variations de structure électronique des atomes de carbone en présence de deutérium. Voir également le fait saillant. Fission : du combustible aux déchets Ces matériaux sont utilisés dans des conditions extrêmes. Leur stabilité et donc leurs propriétés thermodynamiques sont indispensables pour prévoir leur comportement. Des études thermodynamiques complètes sont menées avec des méthodes de mesure variées spécifiques de la complexité de ces matériaux. Une étude détaillée de la structure est toujours nécessaire aux interprétations de l'entropie. Les études interviennent dans quatre secteurs: - Le combustible : réacteur expérimental du site de Cadarache à base d'alliages U-Al-Mo. (Optimisation du diagramme d'équilibre entre phases, enthalpies de formation, capacités thermiques des composés présents). - L'infrastructure : Le système Fe-Zr est un des systèmes clés des matériaux dans le proche environnement du combustible. - L'inertage des déchets : De nouvelles matrices céramiques présentent les avantages d'incorporer des taux de déchets importants et un meilleur vieillissement. Les mesures thermodynamiques sont réalisées dans le cadre du GdR NOMADE par calorimétrie à dissolution. - L’ultime barrière de confinement du stockage profond est constitué par l’argile. Les échanges cationiques argile/déchets à travers les eaux de ruissellement ont été appréhendés quantitativement à partir d’une étude structurale et thermodynamique d'argiles modèles. Matériaux pour l’environnement Désulfuration par oxydation du SO2 contenu dans les rejets gazeux industriels. Etude thermodynamique, sur les systèmes binaires alcalins, extrapolée par le calcul aux ternaires et quaternaires réciproques. SCIENTIFIQUE 71 RAPPORT D’ACTIVITE 2002 - 2006 Equipe Magnétisme Responsable Personnel Doctorants Anatoli Stepanov Professeur Université Paul Cézanne [email protected] André Ghorayeb, maître de conférences, Université Paul Cézanne Jannie Marfaing, professeur, Université Paul Cézanne Sonia Regnier, maître de conférences, Université Paul Cézanne thèses en cours Pascal Sati thèses soutenues Sylvain Bertaina (2005) Johan Choukroun (2001) Thèmes de recherche Magnétisme des matériaux quantiques fortement corrélés Mots clefs Matériaux pour la spintronique, nanoparticules magnétiques, magnétisme moléculaire, manipulation de spin Publications les plus marquantes des 8 dernières années 1. Barra A.L., et al. Eur. Phys. Jour. B, 7, 1999 (indice : 37) 2. Millet P., et al. Phys. Rev. Lett., 83, 1999 (indice : 34) 3. Reynaud F., et al. Phys. Rev. Lett., 86, 2001 (indice : 20) Equipements spécifiques Spectromètre RPE, Bruker EMX Magnétomètre à SQUID Magnétomètre VSM, Système de mesures de susceptibilité ac et dc MAGLAB 2000 Partenaires industriels et publics Gemplus, CEA Collaborations scientifiques GDR SESAME (Électronique de spin associant magnétisme et semiconducteurs) GDR NEEM (Nouveaux Etats Electroniques des Matériaux ) CRHEA Valbonne LNN et CENG Grenoble ENSC de Rennes CEREGE, Aix-en-Provence Université de Lausanne Université de Tokyo Université de Frankfurt am Main Université de Magdebourg Université de Tennessee Réseau européen « High field network ». BILAN SCIENTIFIQUE 73 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE L'équipe développe une thématique nouvelle pour la région marseillaise en focalisant sa recherche sur le Magnétisme des matériaux quantiques fortement corrélés. Plus particulièrement, nous utilisons la Résonance Paramagnétique Electronique (RPE) dans sa version de RPE multi-fréquences comme méthode principale d'étude de la dynamique de systèmes magnétiques quantiques. Parmi nos travaux les plus récents dans ce domaine, nous pouvons citer la découverte d'un nouveau composé 1D de S=1 présentant un comportement sans "gap" (P. Millet et al., Phys. Rev. Lett., 1999), l'observation expérimentale et le développement du concept d'un verre orbital frustré dans un système 2D avec S=1/2 (F. Reynaud et al., Phys. Rev. Lett., 2001), le développement de la théorie de la RPE dans les chaînes quantiques à S=1/2 avec l'interaction Dzyaloshinskii-Moriya (J. Choukroun et al., Phys. Rev. Lett., 2001) et l'observation d'un comportement caractéristique pour un système fermionique dans un composé 1D à S=1/2 BaCu2Ge2O7 (S. Bertaina et al., Phys. Rev. Lett., 2004). Depuis 2002, et afin d'adapter nos activités de recherche à la thématique spécifique du L2MP liée, en particulier, à la spintronique et l'enregistrement magnétique, nous réorientons notre recherche dans trois directions principales : i) la recherche des nouveaux semiconducteurs magnétiques pour la spintronique à base de ZnO:Co, GaN:Mn, Ge:Mn (en coopération avec le CRHEA, Valbonne et le Laboratoire Louis Néel, Grenoble), ii) l'application de la RPE pour l’étude du magnétisme moléculaire et des nanoparticules ferromagnétiques (collaboration avec l'ENSC de Rennes et le CEREGE, Arbois) et iii) la manipulation de spin, la réalisation d'un qubit à l'état solide (en coopération avec le CENG et le Laboratoire Louis Néel, Grenoble). SEMICONDUCTEURS MAGNETIQUES POUR LA SPINTRONIQUE. TRAVAUX SUR ZNO :CO Le développement rapide de la spintronique, une branche de la nanoélectronique axée sur l'exploitation du spin des électrons aux fins de la conduction, exige de nouveaux matériaux magnétiques. Depuis cinq ans l'équipe "Magnétisme", en collaboration avec plusieurs laboratoires en France (CEAGrenoble, CRHEA-Sophia Antipolis), a démarré des travaux sur les semiconducteurs à large bande interdite dopés par des éléments du groupe de transition (Zn1xOMx , M=Co, Ni, Mn et GaxNMnx, etc.). L'objectif de ce projet est de réaliser un semiconducteur ferromagnétique à 74 température ordinaire qui servira pour l'injection de porteurs de charge polarisés en spin dans des nano-structures semiconductrices. Il s'est avéré dès le début de ces travaux que les méthodes magnétiques conventionnelles, même les plus sensibles (le SQUID dc et le SQUID ac) ont des difficultés pour détecter la réponse magnétique d’une nanocouche de semiconducteur magnétique. Ceci résulte, d’une part, d’un nombre d'atomes magnétiques très faible ~ 1011 - 1012, constituant la couche, et d’autre part, de la contribution diamagnétique relativement forte du substrat sur lequel repose la couche semiconductrice. Ces difficultés, ainsi que la nécessité d’avoir une réponse différenciée selon les diverses contributions magnétiques, nous ont amenés à utiliser la Résonance Paramagnétique Electronique (RPE) comme méthode principale de caractérisation des propriétés magnétiques de ces semiconducteurs. En outre, la RPE nous renseigne sur la position cristallographique des ions métalliques et le caractère de leur distribution dans la matrice. L’originalité de notre approche consiste en l'élaboration et l'utilisation de la RPE multi-fréquences et de haute sensibilité. Récemment, nous avons obtenu les premiers résultats, concernant ZnO dopé au Co, grâce à l’utilisation de la RPE appliquée aux couches monocristallines. Pour la première fois, nous avons pu démontrer que les ions Co2+ dans la matrice de ZnO possèdent une très forte anisotropie ionique et nous avons pu mesurer très précisément leur facteur g. Ces résultats nous ont permis d’affirmer la substitution du zinc par le cobalt dans ZnO et formuler un critère d’identification de la phase ferromagnétique intrinsèque dans ce matériau (P. Sati et al., Phys. Rev. Lett., 2006). Cependant, notre analyse des propriétés magnétiques des couches plus concentrées (0,01<x<0,14) a mis en évidence l'existence de corrélations AFM entre les ions Co. A basses températures, nous avons observé une diminution significative du moment magnétique par cobalt lorsque la concentration x augmente. Ce phénomène peut s'expliquer par un modèle microscopique utilisant la formation aléatoire 2+ couplés antiferrod'amas de Co magnétiquement. La mesure de la susceptibilité à hautes températures (T > 150 K) des cristaux nous a permis de confirmer l'existence de ces échanges AFM, et d'évaluer leur ordre de grandeur, notamment entre les premiers voisins Co2+, qui se situe autour de quelques dizaines de Kelvin. MAGNETISME RAPPORT D’ACTIVITE MAGNETISME MOLECULAIRE Depuis 2002, nous avons commencé à développer une activité dans le domaine du magnétisme moléculaire, en nous intéressant en particulier à des composés magnétiques moléculaires inorganiques appartenant à la famille des vanadates. Ces composés (comme leurs analogues organiques tels que, par exemple, le Mn12-ac et qui sont, eux, étudiés par bien d’autres équipes) contiennent des entités magnétiques basées sur une dizaine de spins (d’où l’appellation magnétisme moléculaire). Le fait que les entités magnétiques constituant ces systèmes ont une taille nanométrique et comportent un nombre fini de spins rend l’étude de ces composés très intéressante dans la perspective d’applications dans des domaines tels que le stockage de l’information ou l’informatique quantique. L’un des composés auxquels nous nous intéressons est le vanadate η-Na1,286V2O5, dans lequel l’entité magnétique de base est formée de neuf spins S = 1/2 couplés antiferromagnétiquement. En collaboration avec l’équipe de Patrice Millet au Laboratoire CEMES à Toulouse (en ce qui concerne l’élaboration des échantillons et leur étude structurale), nous avons démontré, par des mesures de susceptibilité magnétique et de RPE en bande X, que l’existence d’un gap de spin dans ce composé (a priori inattendue dans un système fini comportant un nombre impair de spins) était liée à une transition de phase structurale ayant lieu autour de 100 K et impliquant un doublement du paramètre b de la maille élémentaire du réseau. Ainsi, l’unité magnétique de base serait composée de dixhuit spins et non de neuf, situation en accord avec l’existence du gap de spin. Nous avons également estimé ce gap de spin comme étant autour de 35 K. Ces résultats ont fait l’objet de deux publications (F. Duc et al., J. Phys. : Cond. Mat., 2004 et F. Duc et al., Phys. Rev. B, 2004). Plus récemment, nous avons mené une étude plus poussée de ce composé par RPE en bande X, qui nous a montré que la largeur de raie des spectres avait un comportement complexe à basse température. Nous avons expliqué ce comportement en termes de dépendance en température des corrélations statiques et dynamiques entre spins, donnant ainsi une explication qui ne se limite pas au cas de ce composé en particulier, mais qui peut être généralisée à tous les systèmes présentant un gap de spin. Ce travail a donné lieu à un article paru dans F. Chabre et al., Phys. Rev B, 2005. BILAN 2002 - 2006 Par ailleurs, le gap existant à champ nul entre l’état fondamental (singulet) et le premier état excité (triplet) dans ce matériau implique que, en présence d’un champ magnétique, un croisement des niveaux (et donc un changement de l’état fondamental) devrait être observé à un champ critique Hc que nous estimons être autour de 27 T étant donnée la valeur du gap (35 K) estimée cidessus. Nous avons utilisé les facilités du Laboratoire National des Champs Magnétiques Pulsés (LNCMP) à Toulouse pour vérifier cette estimation (où nous avons collaboré avec Mathilde Costes, Michel Goiran et Jean-Marc Broto) à travers des mesures d’aimantation et de RPE multi-fréquences. Parmi les résultats que nous avons obtenus, nous mentionnons ici la confirmation de la valeur de Hc ainsi que l’augmentation sensible, au-delà de Hc, de l’aimantation du composé ainsi que de l’absorption RPE. De plus, la courbe d’aimantation montre un léger plateau autour du 1/9 de l’aimantation à saturation. Ce comportement est tout à fait en accord avec ce que l’on attend de voir dans un système fini comportant 18 spins. Actuellement, au sujet de ce plateau d’aimantation, nous collaborons du point de vue théorique avec R. Hayn et S. Schaefer au sein de notre laboratoire ainsi qu’avec J. Richter de l’Université de Magdebourg en Allemagne. OXYDES MAGNÉTIQUES QUANTIQUES À SPINS Etude de l’ordre local des orbitales de LiNiO2 Lors de nos études précédentes sur LiNiO2 par des mesures magnétiques et de résonance de spin électronique nous avions établi que le comportement intrinsèque de ce composé [dans lequel les ions magnétiques de Ni3+ (3d7) sont sur un réseau triangulaire, ont un spin bas, S = ½, et présentent une dégénérescence orbitale] montrait un aspect inhabituel dans deux régions de température différentes, caractéristiques de deux échelles distinctes d’énergie. La première, de l’ordre de centaines de degrés K, est liée au couplage entre les orbitales des ions Ni3+ et conduit à des changements, en dessous d’environ 400 K, dans les orientations des orbitales occupées (situation que nous avions décrite comme étant celle d’orbitales frustrées), compte tenu de l’arrangement triangulaire de ces ions ainsi que de leur dégénérescence orbitale. La deuxième, de l’ordre de dizaines de degrés K, est due aux interactions spin-spin entre les électrons de ces orbitales et donne lieu à un comportement magnétique inhabituel à basse SCIENTIFIQUE 75 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE température, où il y a un mélange de ferroet d’antiferromagnétisme avec un ordre qui ne s’étend qu’à une courte distance (absence d’ordre à longue distance). Afin de mieux comprendre l’état orbital de LiNiO2 à différentes températures, nous avons entamé une collaboration avec le Professeur Takeshi Egami, actuellement à l’Université de Tennessee aux Etats-Unis d’Amérique (U.S.A.), pour une étude de ce système par diffusion de neutrons, effectuée à plusieurs températures comprises entre 10 et 585 K. Cette étude fut menée au Los Alamos National Laboratory aux U.S.A. Les données expérimentales ont été accumulées pendant environ quatre heures à chaque température, ce qui a permis l’obtention de très bonnes statistiques, valables aussi bien pour une analyse dans l’espace réciproque (analyse Rietveld) que pour une analyse dans l’espace réel [analyse concernant la fonction de densité de paires atomiques (pair-density function analysis - PDF analysis)]. Les résultats ont montré qu’en dessous d’environ 375 K et sur une échelle locale (10 nm environ), un ordre orbital en trois sousréseaux s’établit, dans lequel les orbitales occupées sont du type (3z2 – r2). Cependant, cet ordre ne s’étend pas à une longue distance à cause de la courbure des feuillets générée par l’ordre local lui-même puisque, dans cette configuration, les orbitales sont arrangées en trimères, où toutes les trois orbitales voisines pointent vers un même oxygène. Ces résultats (publiés dans J.-H. Chung et al., Phys. Rev. B, 2005) sont en très bon accord avec les résultats magnétiques brièvement évoqués ci-dessus et où une absence d’ordre à longue distance avait été mise en évidence. BaCu2Si(Ge)2O7 : composés unidimensionnels avec l'interaction de Dzyaloshinskii-Moriya Dans le cadre de ce projet, nous avons étudié les propriétés magnétiques de deux composés unidimensionnels à base de Cu2+, X=Si,Ge, dans lesquels BaCu2X2O7, l'existence de l'interaction de DzyaloshinskiiMoriya (DM) a été prouvée par plusieurs expériences indépendantes. Grâce à la collaboration du Prof. K. Uchinokura (Université de Tokyo), nous possédons de très bons cristaux de ces composés. Nous avons étudié la résonance du spin électronique sur un monocristal de BaCu2Ge2O7 pour des températures allant de 300 à 2K et pour une large gamme de fréquences entre 9.6 GHz et 134 GHz, dans le but de tester les prédictions d’Oshikawa et Affleck (OA) exposée dans leur récente théorie de la RPE des chaînes de spins S=1/2 avec l’interaction de DM. Nous avons, en 76 particulier, trouvé que la largeur de raie RPE, (ΔH), présente un comportement en température très riche. Quand la température décroît de Tmax/2=170K à 50K, ΔH décroît rapidement et de façon linéaire. A plus basse température, en dessous de 50K, ΔH acquiert une dépendance très forte en fonction de l’orientation du champ magnétique, et quand H||c un comportement en (h/T)2 apparaît dû au champ h (staggered) induit, en accord avec les prédictions d’OA (S. Bertaina et al., Phys. Rev. Lett., 2004). Pour mieux comprendre l’origine de l’anisotropie magnétique dans ces composés, nous avons effectué des études par la résonance antiferromagnétique. Ces études montrent très clairement l'existence de deux gaps, ω1 = 40 GHz et ω2 = 76 GHz, dans le spectre des magnons du composé BaCu2 Si2O7 et indiquent l'évolution de la structure magnétique de ce matériau, sous un champ magnétique appliqué, par deux transitions de phase du type "réorientation de spin", Hc1 = 1,9 T et Hc2 = 4,7 T. Egalement deux gaps, ω1 = 25GHz et ω2 = 56 GHz, ont été trouvés dans le spectre du composé BaCu2Ge2O7. Nous avons développé une théorie microscopique basée sur le modèle de Hubbard qui permet de comprendre le mécanisme de l'anisotropie dans BaCu2Si(Ge)2O7 et d'expliquer les propriétés magnétiques observées expérimentalement (R. Hayn et al., Phys. Rev. B, 2002). La théorie de la RPE dans les systèmes à basse dimension avec un spin S=1/2 Motivés par des résultats récents obtenus sur les systèmes quantiques à basse dimension, nous avons révisé la théorie de la RPE de matériaux antiferromagnétiques de faible anisotropie magnétique. Afin d’obtenir le signal d’absorption nous avons utilisé le formalisme de Mori, en considérant la dynamique de spin total du système de façon rigoureuse. Cela nous a permis d’exprimer, pour la première fois, la susceptibilité χ(ω) sous une forme perturbative à température finie. Nous montrons, de façon très générale, que le signal RPE est un mélange d’une absorption et d’une dispersion. Dans le cas ou la « self-energy » peut être estimée en utilisant une des méthodes de découplage RPA, nous avons réussi à calculer la forme du signal, sa largeur et sa position. En particulier ,nous montrons que la position d’un signal RPE est définie par trois contributions : i) l’anisotropie de la susceptibilité statique, ii) la dynamique de spin total du système et iii) l’asymétrie de la forme du signal (J. Choukroun et al., Phys. Rev. B, 2003). MAGNETISME RAPPORT D’ACTIVITE 2002 - 2006 Equipe Théorie Modélisation et Simulation Responsable Jean-Marc Debierre Personnel Gilbert Albinet, professeur, Université de Provence Anne-Marie Daré, maître de conférences, Université de Provence Pierre Lombardo, maître de conférences, Université de Provence Roland Hayn, professeur, Université Paul Cézanne Rahma Guérin, maître de conférences, Université Paul Cézanne Laurent Raymond, maître de conférences, Université de Provence Vincent Oison, maître de conférences, Université Paul Cézanne Steffen Schäfer, maître de conférences, Université de Provence Doctorants Matthieu Dufay Tristan Ducousso Thomas Chanier Professeur, Université Paul Cézanne [email protected] Thèmes de recherche Croissance, structure et propriétés de matériaux nanostructurés, de semi-conducteurs, de solides magnétiques et d’alliages binaires. Mots clefs Calcul analytique, calculs ab initio DFT, corrélations fortes entre électrons, méthode du champ de phase, Monte Carlo quantique. Propriétés magnétiques avancées, auto-assemblage moléculaire sur substrat métallique, topologie de densité électronique, transport et propriétés diélectriques, électromigration sur les surfaces vicinales, densités d’états et propriétés spectroscopiques, formes et modes de croissance en solidification. Publications les plus marquantes des 8 dernières années 1. Krabbes G. et al.- Physica C, 2000 (indice : 53) 2. Reynaud F. et al.- Phys. Rev. Lett., 2001 (indice : 20) 3. Kyung B. et al.- Phys. Rev. Lett., 2004 (indice : 17) Equipements spécifiques Stations de calcul. Partenaires industriels et publics STMicroelectronics, CNES, Région PACA, OTAN, ANR, CINES. Collaborations scientifiques GDR Champ de Phase GDR DFT (Density Functional Theory) GDR Nouveaux Etats Electroniques de la Matière Programme Procope avec K. Kassner (Magdeburg) Collaborative Linkage Grant (OTAN) avec R. Kuzian (Kiev) et I. Opahle, M. Richter, K. Koepernik (Dresden) Collaboration avec A.-M. Tremblay (Québec) BILAN SCIENTIFIQUE 77 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE MODES ET FORMES DE CROISSANCE Etude du facettage par la méthode du champ de phase En collaboration avec A. Karma (Northeasten University, Boston), nous avons utilisé la méthode quantitative du champ de phase à interface fine pour étudier le cas des matériaux formant des facettes [Debierre J. M. et al., Phys. Rev. E, 2003]. Comme pour les cristaux à l'équilibre, les facettes des formes de croissance sont dues ici à des singularités de l'énergie de surface qui, dans notre modèle de champ de phase, sont régularisées. L’étude de la croissance stationnaire d’une dendrite libre montre que la vitesse à la pointe est assez bien prédite par une théorie analytique que nous construisons sur la base de considérations physiques simples. La croissance de doigts solides dans un canal, étudiée en collaboration avec K. Kassner (Université de Magdeburg) [Guérin R. et al., Phys. Rev. E, 2005] montre que des doigts facettés sont obtenus aux plus fortes anisotropies de l’énergie de surface, alors qu’aux anisotropies intermédiaires c’est un mode à deux doigts qui apparaît. Pour les faibles anisotropies, nous observons des modes oscillants. Aucun de ces deux modes n'a d'équivalent pour les anisotropies cubiques usuelles. métallique très ramifiés sur la cathode. Un modèle de diffusion-réduction sous champ électrique proposé pour décrire ce phénomène donne un accord quantitatif entre les formes numériques et expérimentales [Lambert C. et al., ChemPhysChem, 2002]. Croissance atomique dirigée à l'échelle Pour obtenir par le modèle du champ de phase des résultats comparables aux expériences, il est nécessaire de connaître finement les propriétés d'interface. Leur calcul est possible pour des systèmes de dimensions modestes (quelques nanomètres), car des simulations numériques de dynamique moléculaire peuvent être moyennées sur un grand nombre de configurations. Avec cette approche, nous avons étudié la fusion de zone de l'or pur [Celestini F. et al., Phys. Rev. E, 2002] pour calculer le coefficient cinétique qui relie la vitesse de croissance à la température d'interface, pour différentes orientations cristallines. Nos résultats ont permis de montrer que : a) les fronts de solidification Au(110) et Au(100) suivent une cinétique limitée par les collisions, b) la croissance du front Au(111) est au contraire limitée par la mise en ordre des couches solides de surface, c) le mécanisme limitant la fusion reste non compris actuellement. Préparation des échantillons pour la solidification dirigée Ce travail est le fruit d’une collaboration avec l’équipe Microstructures de croissance autoorganisées. La préparation d'échantillons à base d'aluminium (fusion dirigée puis stabilisation sous gradient thermique) doit être bien contrôlée, si l’on veut pouvoir comparer des expériences de solidification dirigée au sol et dans l'espace. Dans l'alliage Al-1.5wt% Ni le gradient de température provoque la migration des gouttes et des canaux riches en nickel présents dans la zone partiellement fondue (fusion de zone en gradient thermique). Un modèle simple a été proposé pour interpréter les résultats expérimentaux. Les simulations numériques réalisées à partir de ce modèle confirment bien sa validité pour décrire l'expérience [Nguyen Thi H. et al., J. Cryst. Growth, 2003]. Figure 1. Mode à deux doigts et mode oscillant obtenus en solidification confinée pour des anisotropies intermédiares et faibles. Décomposition électrolytique couches minces de CuBr de Ce travail est le fruit d'une collaboration avec P. Knauth (Laboratoire MADIREL, Marseille). CuBr est un conducteur mixte avec une forte mobilité des ions cuivre, prometteur pour la fabrication de capteurs sélectifs de gaz. La détection du gaz se faisant par une mesure de résistance électrique, il est nécessaire de bien connaître le comportement d'une couche mince soumise à une d.d.p. constante. Dans une cellule limitée par une cathode de cuivre et une anode d'or, nous avons observé qu'au-delà d'une tension seuil, les ions Cu+ forment des arbres de cuivre 78 THEORIE STRUCTURE ÉLECTRONIQUE AB INITIO Auto-organisation de molécules sur substrat métallique Ce travail s'effectue en collaboration avec l'équipe Nanostructuration. Il s'agit d'analyser la nature et la force des interactions entre molécules et entre le substrat et les molécules. Les premiers systèmes étudiés ont été les molécules de phthalocyanine de zinc halogénée (ZnPcX8 où X=F ou Cl) déposées sur la surface d'argent Ag(111). [Abel M. et al., ChemPhysChem, 2006]. La substitution d'atomes d'hydrogène par des atomes de halogènes modifie la nature et la force des MODELISATION ET SIMULATION RAPPORT D’ACTIVITE interactions intermoléculaires car elle permet la formation de liaisons hydrogène de type C— H...X qui accroissent de manière importante la cohésion de l'arrangement moléculaire. Ces interactions ont été analysées grâce à la topologie de la densité électronique dans le cadre de la théorie de Bader. Nous utilisons le code InTeGrity [Katan C. et al., J. Appl. Cryst., 2003] qui permet de quantifier l'intensité des recouvrements électroniques entre molécules et de déterminer de manière univoque un modèle de charges ponctuelles. Ainsi, le caractère plus ou moins covalent ou électrostatique d'une interaction peut être mis en évidence. Figure 2. Assemblage de molécules de phtalocyanine de zinc chlorée. Les plages de couleurs représentent la coupe de la densité électronique dans le plan des molécules (valeurs croissantes du bleu au rouge). Les points critiques des liaisons hydrogène sont représentés par de très petites sphères grises. Formation de défauts ponctuels dans l'oxyde de tungstène WO3 Ce travail s'effectue en collaboration avec l'équipe Microcapteurs. Les premiers résultats concernent la formation des lacunes neutres d'oxygène en volume [Lambert-Mauriat C. et al., J. Phys.: Condens. Matter, 2006]. Nous avons montré que la valeur de l'énergie de formation de la lacune est corrélée à l'anisotropie structurale de la phase monoclinique observée à température ambiante. En effet, il est plus facile de former des lacunes dans la direction cristallographique c suivant laquelle l'alternance de liaisons W—O courtes et longues est la plus prononcée. L'étude détaillée de la structure électronique de WO3 a montré le caractère ionocovalent de la liaison W—O. L'aspect covalent se retrouve dans la bande de valence dont la dispersion résulte de l'hybridation des états 2p de l'oxygène avec les états 5d du tungstène. L'aspect ionique se traduit en terme de population de Mulliken par un déficit d'électrons BILAN 2002 - 2006 sur W (charge atomique de +1,8 e-) et par un excédent d'électrons sur O (charge atomique de -0,6 e-). Quand une lacune d'oxygène se forme, la charge initialement portée par l'atome d'oxygène se répartit principalement au pourtour de la lacune. Ceci induit le remplissage partiel des états 5d des W premiers voisins de la lacune localisés dans la bande de conduction en accord avec les mesures de conductance faites sur WO3 sousstoechiométrique. ELECTRONS FORTEMENT CORRELES Propriétés magnétiques : ZnO dopé Co Le développement rapide de la spintronique, une branche de la nanoélectronique axée sur l'exploitation du spin des électrons pour moduler la conduction, exige des nouveaux matériaux magnétiques comme ZnO dopé Co. Dans une étude expérimentale et théorique utilisant la résonance paramagnétique électronique et les calculs du champ cristallin [R. Kuzian et al. Phys. Rev. B, 2006], nous avons pu démontrer que les ions Co2+ dans la matrice de ZnO possèdent une très forte anisotropie magnétique [P. Sati et al., Phys. Rev. Lett., 2006]. Ces résultats nous ont permis d’affirmer la substitution du zinc par le cobalt dans ZnO et de formuler un critère d’identification de la phase ferromagnétique intrinsèque. Nos calculs utilisant la méthode LDA+U montrent que ce matériau n’est pas ferromagnétique ; c’est au contraire un échange antiferromagnétique qui se produit entre les spins de Co [Chanier T. et al., Phys. Rev. B, 2006]. Etude des oxydes à plusieurs orbitales En lien avec les études menées au sein de l'équipe magnétisme, nous nous sommes intéressés aux propriétés magnétiques et orbitales du composé LiNiO2. Nous avons proposé un scenario permettant de comprendre l'origine de la coexistence d'interactions de type ferromagnétique et antiferromagnétique, comme le suggèrent les résultats expérimentaux [Daré A.M. et al., Europhys. Lett., 2003]. Fermions fortement supraconductivité corrélés et Le modèle de Hubbard est le prototype des modèles de fermions fortement corrélés pour l'étude de nombreux matériaux, comme les supraconducteurs à haute température critique. Nous avons combiné plusieurs approches pour explorer ce modèle, comme les simulations Monte-Carlo, une méthode auto-cohérente à 2 particules (TPSC), ou encore les approches de champ moyen dynamique. Ces techniques se complètent car, si elles peuvent être utilisées parfois concomitamment, ce qui a permis de les valider, elles ont chacune leurs spécificités. SCIENTIFIQUE 79 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE C'est à l'aide de la TPSC que les principales propriétés expérimentales caractérisant les supraconducteurs dopés aux électrons (spectre de photoémission, longueur de correlation antiferromagnétique) ont été quantitativement reproduites et comprises. Pour la première fois dans l'histoire de ces matériaux, un scenario global et prédictif a été proposé, identifiant l'origine et les manifestations du pseudogap [Kyung B. et al., Phys. Rev. Lett. 2004] et [Hankevych V. et al., J. Phys. Chem. Sol., 2006]. Transition métal-isolant En collaboration avec G. Japaridze (Georgian Academy of Sciences, Géorgie) nous avons étudié les transitions Isolant/Métal pouvant survenir dans les matériaux où l'on trouve à la fois de fortes corrélations électroniques et du désordre. Pour cela, nous avons développé une approche fondée sur la théorie de champ moyen dynamique pour traiter les corrélations et sur l'approximation du potentiel cohérent pour le désordre. A demi-remplissage, nous avons obtenu le résultat remarquable d'une double transition Métal/Isolant/Métal ayant lieu successivement lorsque l'intensité des corrélations augmente [Lombardo P. et al., Phys. Rev. B, 2006]. D'autre part, pour un remplissage non-entier, nous avons mis en évidence par analyse spectrale l'existence d'un nouveau type d'isolant, hybride entre l'isolant de Mott dû aux corrélations et l'isolant de bande. Méta-matériaux diélectriques et sont le siège d’interactions DzyaloshinskiiMoriya. Dans une approche théorique et microscopique, nous avons calculé les paramètres d’anisotropie [R. Hayn et al, Phys. Rev. B, 2002] et d’interaction magnétique entre les chaînes de spins [Bertaina S. et al., Phys. Rev. B, 2006]. Grâce à ces calculs, nous avons compris l’origine microscopique des interactions et nous avons pu expliquer les propriétés magnétiques de ces materiaux. Pour un autre cuprate, Li2CuO2, nous avons fait un calcul ab initio de l’anisotropie magnétocristalline et obtenu un bon accord avec les expériences [Mertz D. et al., Phys. Rev. B, 2005]. Finalement, nous avons calculé l’interaction du pour super-échange dans Sb2O2VO3 démontrer que dans la classe des vanadates également, on trouve de très bonnes réalisations de chaînes de spins [Chaplygin I. et al., Phys. Rev. B, 2004]. résonances Ces dernières années, les métamatériaux (assemblages réguliers de résonateurs micro-ondes) ont régulièrement fait les titres des journaux scientifiques. Grâce à leur indice de réfraction négatif, découlant de résonances diélectriques et magnétiques dans le domaine des micro-ondes, ces systèmes permettent la construction de dispositifs à propriétés optiques tout à fait remarquables, tels que des lentilles n'étant pas soumises à la limite de réfraction ou encore des objets invisibles. Nos travaux [Schäfer S. et al., Eur. Phys. J. B, 2005] [Raymond L., Eur. Phys. J. B, 2003] se focalisent surtout sur la partie électrique du spectre électromagnétique que nous avons calculée avec différentes méthodes numériques (renormalisation, fonctions de Green) et, dans certaines limites, analytiques (matrices de transfert, règles de Kirchhoff). Figure 3. Densité d’aimantation de BaCu2Ge207 calculée dans le plan bc. On peut voir les chaînes de spins passant par le cuivre et l’oxygène. Magnétisme dans les chaînes de spins A basse dimensionalité, le magnétisme du spin 1/2 est dominé par des effets quantiques. En collaboration avec l’équipe Magnétisme, nous avons étudié en détail les composés unidimensionnels BaCu2X207 (X=Ge,Si) qui 80 THEORIE MODELISATION ET SIMULATION RAPPORT D’ACTIVITE 2002 - 2006 Le département micro et nano-électronique Equipe Dispositifs ultimes sur silicium Equipe Mémoires Equipe Conception de circuits intégrés Equipe Microcapteurs Equipe Composants pour l’optoélectronique et le photovoltaïque Equipe Signaux et systèmes Chercheur individuel : B. Vidal 1 RAPPORT D’ACTIVITE 2002 - 2006 Equipe Dispositifs Ultimes sur Silicium Responsable Jean-Luc Autran Personnel Alain Bravaix, enseignant-chercheur, ISEN-Toulon Nicolas Cavassilas, maître de conférences, Université de Provence Didier Goguenheim, enseignant-chercheur, ISEN-Toulon Michel Houssa, maître de conférences, Université de Provence (<08/2004) Michel Lannoo, directeur de recherche CNRS Fabienne Michelini, maître de conférences, Université de Provence Daniela Munteanu, chargée de recherche CNRS Professeur, Université de Provence Membre de l’Institut Universitaire de France (2003-2008) [email protected] Postdoctorants, ATER, CDD Karine Castellani, post-doctorant, CNRS & Université de Provence Christophe Sudre, post-doctorant, Université de Provence Faïza Mohamed-Said, secrétaire contractuelle Doctorants thèses en cours Vincent Barral, doctorant Christelle Bénard, doctorante Damien Giot, doctorant Chloé Guérin, doctorante Damien Lachenal, doctorant Xavier Loussier, doctorant Alexandre Mondot, doctorant Karim Néhari, doctorant David Pic, doctorant Samir Serdouk, doctorant Olivier Tintori, doctorant Mélanie Szczap, doctorante thèses soutenues Stéphane Bécu (2006) Marc Bescond (2004) Jérôme Bienacel (2005) Damien Deleruyelle (2005) Michaël Denais (2005) Xavier Garros (2004) Thierry Di Gilio (2003) Samuel Harrison (2005) Stéphane Monfray (2003) Chittoord Parthasarathy (2006) Fabrice Payet (2005) Sophie Renard (2003) Céline Trapes (2004) Thèmes de recherche Transistors et nanocomposants CMOS : caractérisation, modélisation, simulation numérique, fiabilité électrique et radiative Mots clefs Transistors MOS (déca)-nanométriques, transistors double-grille, nanotransistors multi-grille, transistors à fil (nanowire), simulation numérique, modélisation compacte, formalisme des fonctions de Green (NEGF), effets quantiques, transport balistique, silicium et germanium contraints, effets des radiations sur les composants et circuits, effets radiatifs transitoires, neutrons atmosphériques, calcul de structures de bandes, théorie k.p, dispositifs MOS, durée de vie, porteurs chauds, claquage, vieillissement, NBTI, relaxation Publications les plus marquantes des 8 dernières années 1. Chaneliere C. et al., Mat. Sci. Eng. R, 1998 (indice : 163) 2. Houssa M. et al., J. Appl. Phys., 2000 (indice : 105) 3. Devos A., Lannoo M., Phys. Rev. B, 1998 (indice : 84) BILAN SCIENTIFIQUE 81 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Equipements spécifiques 6 bancs de caractérisation électrique sous pointes (1 prober manuel 300mm, 3 probers manuels 200mm dont 1 banc avec chuck chauffant 200mm RT-300°C, 2 probers manuels 6") ; 1 cryostat LN2 (77K < T < 450K) ; 1 cryostat hélium liquide circuit fermé ; 2 bancs de caractérisation électrique en boîtiers Qualitau Mira (Electromigration et TDDB) et Qualitau Infinity (NBTI, porteurs chauds, TDDB) installés au CCR2-Rousset ; mesures I(V) : 3 Analyseurs de paramètres Agilent 4156, 1 Agilent 4155 , 2 Agilent 4145, 2 pA mètres Keithley 617, 2 Current Amplifier Keithley 428, 1 Analyseur Keithley 4200 ; C(V) (20Hz-1MHz) : 2 Agilent 4284 , Agilent 4275 , Agilent 4280 / Boonton 7200 ; Bancs automatisés pour Stress AC/DC pour ALE (Accelerated Lifetime Experiments ; Caractérisation des défauts par pompage de charge, grille flottante ou DLTS, TBS, photoémission interne (IPE) ; Bâti de dépôts métalliques par pulvérisation plasma ; AFM / EFM + Tête STM ; 11 stations de travail SUN Blade (100, 1000 et 2000) ; 1 station de travail bi-processeur HP J6000 ; 1 cluster SUN 22 nœuds 4Go/bioptéron 2.2 GHz ; 1 cluster SUN 6 noeuds 32Go/bi-optéron 2.2 GHz ; Plateformes de simulation SILVACO, ISE, Cadence, Mentor Graphics, Mathematica, Femlab, Fortran 90, C, C++, outils logiciels de calcul parallèle Partenaires industriels et publics STMicroelectronics (Crolles, Rousset), ATMEL, CEA-DAM, CEA-LETI, Commission Européenne (IST, MEDEA+, FEDER), RMNT, ANR, Ministère de l’Economie des Finances et de l’Industrie (STSI), Ministère de la Recherche (ACI), Conseil Régional Provence Alpes Côte d’Azur, Conseil Général des Hautes Alpes, Plateforme de caractérisation CIM PACA Collaborations scientifiques Réseau d’Excellence SINANO, STMicroelectronics (Crolles, Rousset), Infineon Technologies (Munich), Thales Avionics (Valence), Bertin Technologies (Aix-en-Provence), IMEC (Leuven), EPFL (Lausanne), IEF (Orsay), IMEP (Grenoble), projet intégré européen NANOCMOS, réseau d’excellence SINANO, projet européen MEDEA+ ROBIN, Projets RMNT ULTIMOX, KAPPA, CMOSDALI, MEMIS, Projet focalisé ST-L2MP 82 DISPOSITIFS ULTIMES SUR SILICIUM D’ACTIVITE L’équipe « Dispositifs Ultimes sur Silicium » conduit des activités de recherche dans les domaines de la caractérisation électrique fine, de la modélisation et de la simulation analytique, compacte et numérique des transistors MOS, nanocomposants et dispositifs sur Silicium en "fin de roadmap". Les travaux sont aujourd’hui structurés autour de trois thématiques principales : 1) la modélisation et la simulation des nanocomposants et du transport quantique ; 2) le développement de modèles compacts d’architectures innovantes sur silicium ; 3) la fiabilité électrique et radiative des technologies CMOS décananométriques. Nous présentons, dans la suite du texte, quelques résultats clés obtenus au cours des quatre dernières années pour ces thématiques. On pourra se reporter à la bibliographie complète de l’équipe pour les autres sujets non détaillés dans le présent rapport : caractérisation et modélisation de matériaux high-κ, étude de dispositifs spintroniques ou encore modélisation de mémoires non-volatiles. 2002 - 2006 z x y 1.36nm RAPPORT 1.3 6n m 9 nm Figure 1. Transistor gate-all-around (GAA) à nanofil de silicium. L’axe source-canal-drain est un cluster d’atomes de Si (en rouge) passivé en surface par des atomes d’hydrogène (en bleu). MODELISATION ET SIMULATION DE NANOCOMPOSANTS Approches atomistiques Nous avons entrepris le développement de codes de calcul basés sur des méthodes semiempiriques (k.p, liaisons fortes), elles-mêmes calibrées sur des résultats de calculs ab-initio (LDA, GW), permettant de simuler les propriétés électroniques (structure de bandes) de systèmes de taille nanométriques. L’objectif est d’arriver, par étapes successives, à une simulation atomistique 3D prédictive des nanotransistors qui devrait constituer un outil essentiel de validation et de calibration des autres approches de simulation. A titre d’illustration, nos travaux récents [Nehari et al. ESSDERC 2005, Solid-State Electron. (2006)] ont porté sur l’évaluation de l’impact de la structure de bandes d’un nanofil de Si sur la réponse balistique d’un transistor dont l’axe source-canal-drain est justement constitué d’un tel nanofil (figure 1). Dans cette étude, un calcul par liaisons fortes (modèle sp3) a permis d’obtenir la structure de bandes (figure 2) de ce cluster atomique. Parce que sa structure de bandes est différente de celle du silicium massif (en termes de position des extrema de bandes et des masses de courbures) et cela d’autant plus que le confinement est important, la différence calculée sur la réponse balistique du transistor devient importante lorsque la section du nanofil diminue, comme illustré sur la figure 3. Aux dimensions ultimes (1.36 nm de côté), la différence sur ce courant source-drain à l’état passant peut atteindre près de 60%. BILAN Figure 2. Structure de bandes du nanofil calculé par une approche de type « liaisons fortes » (modèle sp3) pour des sections de 1.36 et 2.72 nm de côté. Figure 3. Caractéristiques ID(VG) calculées en régime balistique grâce au formalisme NEGF en considérant les masses effectives du Si massif ou la structure de bande réelle du nanofil (figure 2). Transport quantique – Formalisme des fonctions de Green (NEGF) (voir rubrique « faits marquants »). MODELISATION COMPACTE PHYSIQUE DES NOUVELLES ARCHITECTURES DE TRANSISTORS Le fonctionnement des nanotransistors en architecture multi-grilles s’éloigne de celui des dispositifs conventionnels : des phénomènes physiques émergents, tels que le transport balistique ou les effets quantiques, deviennent prépondérants et influencent significativement leurs performances. Dans ces dispositifs ultracourts, ces effets restent fondamentalement peu ou pas pris en compte dans la modélisation des dispositifs et circuits intégrés. C’est à ce niveau qu’il existe une limitation importante des outils de simulation actuels, notamment en SCIENTIFIQUE 83 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE ce qui concerne les outils de conception de circuits. L’équipe mène depuis quatre ans une importante activité de développement de modèles physiques analytiques et compacts du fonctionnement des nano-transistors multigrille, modèles dédiés à être implémentés dans des simulateurs circuits. Ce travail de modélisation a comporté deux volets principaux : (a) modélisation des paramètres essentiels du transistor (tels que la tension de seuil) et (b) modélisation complète du fonctionnement du transistor (courant de drain et des charges sur les terminaux). Ces modèles, dédiés aux dispositifs double-grille conventionnels, double-grille à grilles indépendantes, SON ou multi-grilles, sont basés sur une approche physique et prennent en compte les effets de canal court et de confinement quantique ainsi que le transport balistique, phénomènes essentiels dans ces nano-dispositifs ultra-minces. Un exemple de ce travail de modélisation est présenté dans la figure 4 : notre modèle compact du courant de drain dans un transistor double-grille est parfaitement validé par des données expérimentales mesurées sur des dispositifs fabriqués par STMicroelectronics dans le cadre du projet NanoCMOS. DISPOSITIFS ET CIRCUITS CMOS DECANANOMETRIQUES EN ENVIRONNEMENT RADIATIF Sensibilité des architectures CMOS innovantes aux particules ionisantes D’après les premiers résultats expérimentaux publiés, les architectures CMOS multi-grilles semblent présenter une très bonne tenue aux radiations ionisantes. Ceci est principalement du à l’excellent contrôle du potentiel de body qui induit une forte réduction des effets de substrat flottant. Depuis 2003, nous conduisons une étude théorique du comportement de ces dispositifs sous rayonnement, notamment en ce qui concerne l’interaction des phénomènes émergeants (effets de confinement quantique ou transport balistique) avec les effets physiques résultant du passage d’une particule 1.E+01 VD=1.2V L=30nm tSi=10nm 1.E-01 0.1V tox=1.5nm 18 1E-05 VD=1.2V 1.E-03 -3 4×10 cm 0.1V 1E-07 1.E-05 L=70nm tSi=13.8nm 1.E-07 tox=2.2nm Intrinsic channel 1.E-09 1.E-11 1E-09 Experiment 2D QM model Drain current I D (A/µm) Drain current I D (A/µm) 0.001 1.E-13 1E-11 1.E-15 -0.5 -0.3 -0.1 0.1 0.3 0.5 0.7 Gate voltage VG (V) 0.9 1.1 Figure 4. Validation du modèle compact Double-Grille par des données expérimentales. Ce modèle a été implémenté dans un simulateur circuit et ensuite utilisé pour la simulation d’un circuit simple (oscillateur en anneaux à 15 étages), comme illustré dans la figure 5. L = 200nm tSi = 5nm Output voltage (V) 1.5 L = 500nm tSi = 10nm 1.0 0.5 0.0 Ring oscillator - 15 stages -0.5 0 1 2 3 4 5 6 7 8 9 Figure 6. Evolution temporelle de la densité d’électrons dans un transistor 32nm gate-all-around (GAA) après le passage d’une particule ionisante de LET=1MeV/(mg/cm2 (VG=0V, VD=0.8V). 10 Time (s) ionisante à travers le dispositif. Nous avons récemment analysé, par simulation quantique en 3 dimensions, l’impact du confinement quantique sur la tenue aux irradiations des dispositifs SOI simple-grille, double-grille planaire, triple-grille et gate-all-around. Les effets quantiques ont une influence significative sur la distribution de charge dans le dispositif (comme illustré dans la figure 6 où la variation temporelle en classique –i.e. sans effets quantiques– et en quantique est représentée dans un transistor gate-all-around), avec des conséquences sur la recombinaison des porteurs, la charge collectée et l’amplification bipolaire de la charge déposée au passage de l’ion. Nos résultats démontrent l’importance de prendre en compte le confinement quantique dans la simulation des effets radiatifs, en particulier pour les futurs nano-fils multi-grilles ultra-minces. Projet ASTEP (Altitude Single-Event Effect Test European Platform) (voir rubrique « valorisation et partenariat »). Figure 5. Réponse transitoire d’un oscillateur en anneaux à 15 étages simulée avec le modèle compact du transistor Double-Grille implémenté dans Eldo®. 84 DISPOSITIFS ULTIMES SUR SILICIUM RAPPORT D’ACTIVITE 2002 - 2006 FIABILITE DES TECHNOLOGIES CMOS Fiabilité des oxydes ultra-minces Dans le cadre du projet Ultimox, nous avons étudié la génération de courants de fuite à travers l’oxyde dans les structures MOS en déplétion, appelés LVSILC (Low Voltage Stress Induced Leakage Currents). L’énergie des porteurs injectés étant le paramètre critique, on déduit une loi d’accélération dépendant directement de la tension de grille [Goguenheim D. et al. JNCS 2003, Trapes C. et al. JNCS & Micro. Reliab. 2005]. Nous poursuivons depuis 2004 ces études sur la fiabilité au claquage des oxydes de 3nm et moins [thèse Pic D.] afin de valider les lois d’accélération pertinentes pour extrapoler la durée de vie aux conditions nominales. En collaboration avec l’Alliance STCrolles 2, nous étudions la fiabilité des dernières filières CMOS et BiCMOS de moyenne puissance. Les travaux de D. Lachenal (thèse 2004-2007) sur les technologies LDMOS montrent l’influence de la structure et de la qualité des espaceurs sur les points chauds de génération [Lachenal D., MIEL & IPFA Proc. 2006]. En collaboration avec Infineon (thèse de A. Ille 2004-2007), nous étudions les problèmes de décharge électrostatique sur une large gamme d’épaisseurs d’oxyde (5.2-1.3nm) et avons montré l’impact de la méthodologie en tension et température dans les intervalles de contrainte ESD, en utilisant la technique Transient Line Pulse (TLP) de quelques ns à la ms [Ille A., IEEE EOS/ESD 2006]. Fiabilité porteurs chauds et NBTI des filières MOS avancées En collaboration avec l’Alliance Crolles-2, nous avons élaboré une nouvelle technique de caractérisation, qui capture à la volée (figure 7) les principaux paramètres du transistor dégradé au cours des contraintes en température et tension, en évitant les phénomènes de relaxation propres aux oxydes minces [Denais M. et al., IEDM Tech. Dig., 2004]. On a souligné les effets importants du piégeage de trous dans le transistor PMOS et la fraction des états lents, qui se vident selon une loi universelle, alors que les états d’interface rapides n’interviennent pas dans ce mécanisme plus lent (ms-µs). Les facteurs d’accélération en remplissage et vidage des pièges dans les oxydes ultra-minces nitrurés montrent l’interaction des différents mécanismes en fonctionnement alterné et AC [Parthasarathy C., IEEE IRPS 2006]. La prise en compte des mécanismes de dégradation dans les paramètres BSIM a permis de modéliser la dégradation sur des cellules NAND, SRAM, buffers et des blocs analogiques (amplificateurs différentiels, miroirs de courants). L’impact des dégradations porteurs chauds a été étudié sur les transistors des cœurs logiques ou d’entréesortie (thèse T. Digilio 2002-2005) pour les filières 0,35µm à 120nm, soulignant la nécessité d’adapter les techniques d’extrapolation aux nouveaux mécanismes [Digilio T., IEEE IRW, 2005]. BILAN Figure 7. Principe d’extraction à la volée au cours d’une contrainte NBTI et comparaison avec la méthode conventionnelle (PMOS VG= -1.32V, T= 125°C). Fiabilité des procédés Nous avons établi et testé une méthodologie optimisée de détection des défauts latents induits par le « Wafer Charging », qui utilise des injections courtes (~1s) d’électrons chauds dans le PMOS [Goguenheim D. et al., Micro. Reliab., 2005]. L’optimisation des tests d’électromigration a été étudiée et un effet anormal lié à la structure de test ligne+via a été observé. Nous avons mis en place une procédure de test sur boîtier séparant les effets en courant et en température, autorisant une meilleure prédiction de la durée de vie des lignes. Enfin, nous avons entamé en 2004 un travail visant à estimer l’impact d’une contamination par le cuivre de wafers fabriqués, en collaboration avec le CEA-LETI qui a réalisé les contaminations contrôlées par la face arrière. Un effet faible de réduction de VTH a été mis en évidence dans le PMOS, sans effet sur l’interface et l’oxyde [Puzenat, 2006 à paraître]. SCIENTIFIQUE 85 RAPPORT D’ACTIVITE 2002 - 2006 Equipe Mémoires Responsables Rachid Bouchakour Professeur, Université de Provence [email protected] Personnel Pascal Masson Professeur, Université de Provence [email protected] Hassen Aziza, maître de conférences, Université de Provence Pierre Canet, professeur, Université de Provence Claude Césari, professeur émérite, Université du Sud Toulon Var Damien Deleruyelle, maître de conférences, Université de Provence Philippe Ferrandis, maître de conférences, Université du Sud Toulon Var Bruno Imbert, maître de conférences, Université de Provence Romain Laffont, maître de conférences, Université de Provence Frédéric Lalande, professeur, Université Paul Cézanne Nicolas Mariema, ingénieur contractuel Gilles Micolau, maître de conférences, Université Paul Cézanne Christophe Muller, professeur, Université du Sud Toulon Var Isabelle Poncin, technicienne CNRS Jean-Michel Portal, maître de conférences, Université de Provence Christian Turquat, maître de conférences, Université du Sud Toulon Var Visiteurs, Postdoctorants, ATER Sandrine Bernardini, ATER 2004-2005 Damien Deleruyelle, ATER 2004-2006 Hassen Aziza, ATER 2004-2005 Anne-Marie Castagnos, ATER 2002-2004 Valery Bouquet, postdoc 2005-2006 Julie Damas, postdoc 2005-2006 Doctorants thèses en cours Germain Bossu Samir Bouthar Lorène Courtade Antoine Demolliens Stéphanie Jacob Claire Leroux Samir Mouhoubi Jérémy Postel-Pellerin Henia Ragad Manon Roca Arnaud Regnier Manuel Sellier Romain Wacquez thèses soutenues Laurent Lopez (2004) Romain Laffont (2003) Sandrine Bernardini (2004) Rossella Ranica (2005) Fabien Gilibert (janvier 2006) Bertrand Delsuc (2005) Juliano Rzafindramora (2005) Valéry Bouquet (2005) Hassen Aziza (2004) Nicolas Menou (2004) Thèmes de recherche Mémoires à matériaux avancés – Mémoires innovantes sur silicium – Analyses de performances – Diagnostic et test de circuits intégrés Mots clefs Mémoires, plan mémoire, Flash, EEPROM, DRAM (1T et 1T1C), FeRAM, MRAM, PCRAM, test, diagnostic, fiabilité, mémoires alternatives ultra-rapides, mémoires à peu d’électrons Publications les plus marquantes des 8 dernières années 1. De Salvo B. et al., IEEE Trans. on Electron Devices, 2001 (indice : 37) 2. Menou N. et al., Appl. Phys. Lett., 2005 (indice : 4) 3. Portal J.M. et al., J. of Elec. Testing, 2005 BILAN SCIENTIFIQUE 87 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Equipements spécifiques Testeur Agilent 93K et plusieurs bancs de tests électriques I-V, C-V pour la caractérisation des cellules mémoires seules et en matrice. L’équipe dispose aussi de plusieurs stations de travail ainsi que des logiciels de simulation et de mesures : ISE, ELDO, IC-CAP, Mathcad Partenaires industriels et publics Atmel, Alliance Crolles, AMI Semiconductor, Chartred Semiconductors, Fujitsu, Gemplus, Inside Contactless, STMicroelectronics, CIM-PACA, Pôle de compétitivité SCS, CEA-Léti, IMEP, LPM, IMEC Collaborations scientifiques GDR FiFA, RTP Fiabilité, Projet IST FLEUR, ANR PNANO 88 MEMOIRES RAPPORT D’ACTIVITE L’équipe associe les activités scientifiques de 14 enseignants chercheurs (6 Professeurs des Universités, 8 Maîtres de conférences) et de 15 Doctorants. Il est important de noter que 6 des enseignants chercheurs ont été recruté dans la période 2002 – 2005 et 3 ont été promus au grade de Professeur. 2002 - 2006 particulier pour le domaine de l'aérospatiale. Dans cette perspective, il est nécessaire d'analyser la fiabilité et la durabilité de ces dispositifs lorsqu'ils sont soumis simultanément à des contraintes électriques et radiatives (Menou, Castagnos et al., J. Appl. Phys., 2005). La mise en place de cette équipe est assez récente, elle fait suite à une restructuration des activités de recherche du laboratoire. Compte tenu du fort potentiel de recherche au laboratoire dans ce domaine et de l’importance de ce dernier, il nous est apparût primordial de nous positionner sur cette thématique. Actuellement, l’équipe couvre les divers aspects du développement des mémoires au travers d’un large panel de thèmes allant des matériaux jusqu’aux circuits en tenant compte des aspects modélisation, caractérisation et test. L’organisation de nos recherches s’articule autour de quatre grands thèmes : • • • • Mémoires à matériaux avancés ("MéMatA") ; Mémoires innovantes sur silicium ("MISSi") ; Analyse de performances ("AP") ; Diagnostic et test de circuits intégrés ("DiTCI"). En parallèle de ces activités scientifiques, l’équipe mémoires est à l’origine et a organisé la première conférence ICMTD (1st International Conference on Memory Technology and Design) qui a réuni plus de 160 scientifiques en provenance de 18 pays du 21 au 24 mai 2005 à Giens (France). Une version étendue des meilleurs papiers a été publiée dans une édition spéciale de la revue Solid-State Electronics (Vol. 49, No. 11, 2005). Le succès de cette première édition nous a permis de pérenniser cette conférence comme un évènement biannuel. La deuxième édition se déroulera en mai 2007 à Giens (site Internet de la conférence : www.ICMTD.com). MEMOIRES A MATERIAUX AVANCES (MéMaTa) Problématique générale Cette thématique vise à analyser la fiabilité de nouvelles technologies mémoires intégrant un matériau fonctionnel comme élément de stockage de l'information. Depuis 2002, nous travaillons sur la fiabilité des mémoires ferroélectriques FeRAM en associant des tests électriques à des analyses microstructurales fines. Cette double approche permet de mieux appréhender les mécanismes physiques fondamentaux responsables de la défaillance des dispositifs, ceci en relation avec les étapes technologiques de fabrication et la nature des contraintes (tension, température, irradiation). Fiabilité de condensateurs 3D sous irradiation X De par leurs performances en terme de temps d'accès et de faible consommation, les mémoires FeRAM sont très attractives en BILAN Figure 1. Variation de la polarisation rémanente (Δ2.PR) de condensateurs soumis à un cyclage bipolaire sous ou hors irradiation X. En insert, principe du dispositif de mesures in situ. Afin d'évaluer la tolérance de cellules FeRAM "réelles" dans des conditions radiatives sévères, des études ont été menées sur des réseaux de condensateurs de type "mémoire". Des réseaux de condensateurs à 3 dimensions, montés en boîtier, ont été placés sur une carte développée au sein de l’équipe. Cette dernière permet de mesurer les caractéristiques ferroélectriques sous radiations X et contraintes électriques simultanées (insert Figure 1). Si les réseaux sont toujours écrits dans le même état, l'irradiation engendre un mécanisme d'imprint irréversible (déplacement en tension) conduisant à des erreurs en lecture et en écriture. En outre, lorsque la mémoire est cyclée sous irradiation (Figure 1), on observe une forte accélération du mécanisme de fatigue (perte de polarisation) causant une fermeture de la fenêtre de programmation et des erreurs de lecture (Courtade et al., Appl. Phys. Lett., 2006). Diagnostic non destructif de cellules FeRAM Compte tenu du rôle prépondérant du condensateur de stockage sur la fiabilité des mémoires FeRAM, il est particulièrement intéressant d'étudier les caractéristiques électriques et microstructurales des condensateurs ferroélectriques après les étapes d'intégration. En complément des tests de fiabilité, des réseaux de condensateurs intégrés ont été analysés en associant des analyses de fluorescence et de diffraction sur une source synchrotron micro-faisceau (ID18F, ESRF). Ces analyses non destructives ont permis tout d'abord de qualifier les étapes technologiques de fabrication des blocs mémoires et d'inspecter la microstructure des condensateurs ferroélectriques après intégration. Elles permettent en outre de contrôler les variations SCIENTIFIQUE 89 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE de condensateur à condensateur et de les corréler aux performances de fiabilité ("sensing window" par exemple). Enfin, il est possible de reconstruire "en aveugle" l'architecture de la cellule mémoire. Un excellent accord est observé entre la cross section TEM de la cellule et les profils déduits des expériences couplées µXRF/µXRD (Muller C. et al., J. Appl. Phys., 2006). Cette approche a également été développée sur des condensateurs 3D (Menou N. et al., J. Appl. Cryst., 2006). MEMOIRES INNOVANTES SILICIUM (MISSi) SUR Problématique générale MISSi a pour vocation d’étudier, de modéliser et de simuler des mémoires à architectures innovantes incluant aussi la mise au point de nouvelles méthodes de caractérisation. Nous travaillons ainsi sur des mémoires volatiles et non-volatiles telles que : Flash simple-poly, embeded 1T1C DRAM, 1T DRAM (Bulk, PD-SOI, FD-SOI), Flash à nano-cristaux de silicium,… Modélisation des structures MOS Les mémoires, composants indispensables de tout système électronique, suivent aussi la loi de réduction d’échelle prédictive de Moore. Le fonctionnement de ce type de composant est plus compliqué que celui du transistor MOS. De ce fait, ces mémoires sont bien plus sensibles aux effets parasites liés à la réduction des dimensions. Il est donc important de travailler sur la modélisation et la caractérisation électrique du transistor MOS et de la capacité MOS. Nous avons ainsi réalisé un grand nombre de codes de calculs (appelés modèles) prenant en compte un nombre important de paramètres (porteurs chauds, courant tunnel, polydésertion, charges parasites dans l’isolant, effets quantiques,…). Ce travail nous a permis de mettre au point une méthode d’extraction de la résolution spatiale des charges parasites de l’oxyde entre les deux interfaces (Bernardini S. et al., Applied Physic Letters, 2004) et des pièges à l’interface de l’isolant (Masson P. et al., Applied Physic Letters, 2002). Nous avons aussi travaillé sur l’impact d’un isolant de grille ultra mince sur le courant de drain (Gilibert F. et al., Solid-State Electronics, 2004). Les mémoires DRAM sans capacité La complexité de réalisation de la capacité de stockage de la cellule DRAM impose la recherche de solutions qui s’affranchissent de cet élément. Une solution est de stocker la charge (ie l’information) au niveau du substrat d’un transistor MOS ce qui revient à modifier sa tension de seuil. Nous avons travaillé successivement sur la cellule 1T-Bulk qui est composée d’un transistor MOS dont le substrat est isolé par une diode (Villaret A., et al., IEEE Transaction on Electron Devices, 2005 et Ranica R., et al., Solid-State Electronics, 2005). Ce travail a abouti à la réalisation d’un démonstrateur mémoire de 1 Mbits. Nous avons ensuite travaillé sur les cellules 1TPDSOI (Partialy Depleted SOI) et 1T-FDSOI (Fully Depleted SOI). 90 Les mémoires Flash Il existe une multitude de concepts de mémoires Flash. Nous poursuivons nos travaux de recherche sur la mémoire à nano-cristaux de silicium (Perniola L., et al., IEEE transaction on Nanotechnology, 2005), sur la mémoire à chargement sous le canal (Ranica R., et al., IEEE transaction on Nanotechnology, 2005). Cette dernière permet un relâchement des contraintes sur la réalisation du transistor et de son oxyde de grille et ainsi de pouvoir suivre la loi de Moore. Nous avons aussi travaillé sur la cellule simple poly qui permet de réaliser des mémoires compatibles avec les technologies CMOS digital. La confidentialité de ce sujet de recherche ne nous permet toujours pas d’envisager de publications sur ce type de dispositif. Toutefois, nous avons publié une technique de caractérisation dérivée de la technique de la grille flottante appliquée à ce dispositif (Laffont R. et al. ESSDERC, 2003). ANALYSES DE PERFORMANCES (AP) Problématique générale Dans cette thématique de recherche, nous travaillons principalement sur l’optimisation des cellules mémoires en fonction des contraintes d’utilisation. Nos objectifs sont de diminuer les tensions appliquées au plan mémoire ou encore d’augmenter la fiabilité des cellules. Pour cela, nous travaillons au niveau de l’architecture de la cellule et des signaux appliqués tout en tenant compte de l’environnement de la cellule. Page flash - émulation EEPROM de la cellule flash Cette étude est consacrée à l’étude du fonctionnement des cellules flash et à la modification de celles-ci pour obtenir une fonctionnalité EEPROM sans avoir recours à l’émulation software ainsi qu’à la conception des circuits de programmation. Vieillissement des oxydes tunnels Cette étude porte sur l’étude du signal de programmation des cellules mémoires EEPROM et a permis d’optimiser d’une part, le vieillissement dû à la contrainte électrique et d’autre part, la vitesse de programmation. Une autre étude porte sur l’évolution des paramètres du modèle de courant d’injection des charges (Fowler-Nordheim) au cours du vieillissement dû à la contrainte électrique pour aboutir à une modélisation du test en endurance. Fiabilité des mémoires non-volatiles Cette étude est consacrée aux distributions statistiques des caractéristiques électriques et de leur dépendance en temps, tension et température. Notre objectif est de mieux appréhender les phénomènes physiques mis en jeu. En outre, une étude en rétention à haute température permet d’étudier les pertes de charges dues à l’émission thermoïonique. MEMOIRES RAPPORT D’ACTIVITE DIAGNOSTIC ET TEST DE CIRCUITS INTEGRES (DiTCI) Problématique générale Les principaux points abordés dans cette thématique de recherche sont les suivants : • Modélisation de défauts • Test et diagnostic des mémoires embarquées • Développement d’Infrastructure IP L’évolution des applications dans le domaine de la microélectronique rend la complexité des circuits intégrés sans cesse croissante. La maîtrise de la réalisation de ces circuits intégrés nécessite une très bonne connaissance de la technologie, en particulier de la dispersion paramétrique. Il découle directement de cette constatation, une difficulté croissante pour tester et diagnostiquer les nouveaux systèmes sur puce. Ainsi la frontière entre les thèmes de recherche traitant de l’analyse de défaillance, du test, de la conception et de la fabrication tend à se réduire. Une nouvelle approche de conception prenant en compte les différents aspects évoqués précédemment est appelée «Design For Manufacturing». La thématique DiTCI (Diagnostic et Test des Circuits Intégrés) se positionne à l’interface entre le test et l’analyse de défaillance, de manière à répondre à ces nouveaux enjeux de l’industrie du semiconducteur. Modélisation de défauts Le thème « Modélisation des défauts » est une activité de recherche dont l’objectif est le développement de modèles de défauts pouvant affecter les grilles des transistors MOS en technologies fortement submicroniques. Notre contribution concerne la modélisation des défauts de dopage dans les poches (« Halo Implant ») utilisées massivement dans les technologies fortement submicroniques. Ceci dans le but de mieux contrôler les grandeurs caractéristiques du transistor telles que Ion, Ioff et VT. Notre approche, dans ce cas, a été de proposer un modèle maillé de manière à maîtriser les différences de dopage le long du canal. La validation de ce modèle maillé a été réalisée en comparant les résultats issus de notre modèle avec ceux issus d’un simulateur « technologique ». Test et diagnostic embarquées des 2002 - 2006 analogiques pertinentes des cellules. Ceci dans le but d’améliorer le diagnostic en introduisant la notion de cartographie analogique et de distributions sur produit (Portal et al. J. of Elec. Testing, 2005). De plus, la mise en place d’une infrastructure de simulation plus réaliste a été entamée et devrait nous permettre d’étudier par simulation le comportement défectueux de ce type de mémoire. Cette étude a aussi permis de mieux maîtriser les différentes composantes d’un plan mémoire NVM. Elle ouvre ainsi de nouvelles perspectives en terme de conception de plan mémoire. La dernière contribution, actuellement en cours de développement, concerne l’extension des méthodes développées sur les mémoires EEPROM aux mémoires Flash. Cette activité a pour but la création, par simulation, de signatures électriques de défaillances dans le plan mémoire Flash. Développement d’infrastructure IP Le thème «Développement d’Infrastructure IP» est une activité qui met en œuvre à la fois des études de conception des strcutures I-IP et des études pour l’exploitation des résultats issus de ces structures. L’objectif est de proposer des blocs IP permettant d’analyser certaines composantes du procédé de fabrication. Nous avons conçu un circuit de mesure des courants et des tensions de seuil des cellules mémoires EEPROM sur produit. Notre approche a donc permis d’extraire, sur produit, les données analogiques pertinentes des cellules mémoires. Un autre aspect de ce travail concerne la mise en place de structures de tests (puce test, voir Figure 2) permettant d’évaluer les rendements des technologies avancées (<50 nm). L’objectif de cette nouvelle étude est la mise en place de modèles de simulation simples permettant une simulation prédictive des dispositifs MOS intervenants dans ces technologies (transistor double grille, MOS SOI etc.). Ces modèles devront permettre la conception des premières structures de tests implantées sur les puces réalisées dans les technologies 45 nm et 32 nm. mémoires Le thème « Test et diagnostic des mémoires embarquées » est une activité de recherche dont l’objectif est de proposer des solutions de test en vue du diagnostic et ce dans le but d’aider l’analyse de défaillance. Un premier travail a consisté à développer un programme de mesure des courants de seuil permettant de passer outre la principale limitation du diagnostic des EEPROM. En effet, la cellule EEPROM est analogique (décalage de la tension de seuil), or elle est diagnostiquée comme une cellule logique de type SRAM, avec une cartographie topologique. Notre approche a donc permis d’extraire, sur produit, les données BILAN Figure 2. Structure IP pour la validation du back-end dans les procédés logiques SCIENTIFIQUE 91 RAPPORT D’ACTIVITE 2002 - 2006 Equipe Conception de Circuits Intégrés Responsable Hervé Barthélemy Permanents Sylvain Bourdel, maître de conférences, Université Paul Cézanne Philippe Courmontagne, enseignant-chercheur, ISEN Christian Dufaza, professeur, Université de Provence Willy Duquenoy, technicien informatique, ISEN Nicolas Dehaese, maître de conférences, Université Paul Cézanne Jean Gaubert, maître de conférences, Université de Provence Edith Kussener, enseignant-chercheur, ISEN Jean-Marie Mathieu, maître de conférences, Université Paul Cézanne Stéphane Meillère, maître de conférences, Université de Provence Olivier Mercier, ingénieur contractuel Régine Matheron, administrative, ISEN Philippe Pannier, maître de conférences, Université de Provence Annie Perez, maître de conférences, Université de Provence Christine Perony, ingénieur informatique et systèmes, ISEN Wenceslas Rahajandraibe, maître de conférences, Université de Provence Frédérique Robert-Inacio, enseignant-chercheur, ISEN Claude Tetelin, enseignant-chercheur, ISEN Lakhdar Zaïd, maître de conférences, Université de Provence Professeur, Université de Provence [email protected] Visiteurs, Postdoctorants, ATER Stylianos Siskos, Ass. Professeur, Univ. Aristote de Thessalonique Bruno Casadéi, Postdoc 2004-2006 Nicolas Dehaese, ATER 2005 Doctorants thèses en cours Yannick Bachelet Marc Battista Emmanuel Bergeret Fabien Chaillan Samuel Charbouillot Anne Collard-Bovy Joseph Romen Cubillo Matthieu Fillaud Aurélie Margalef Matthieu Egels Amir Reza Fanaei Mike Fournigault Christophe Fraschini Daniele Fronte Vincent Cheynet de Beaupré Fabrice Guigues Julien Mercier Vincent Telandro François Rudolff Julien Roche thèses soutenues Edith Kussener (2002) Stéphane Meillère (2004) Nicolas Dehaese (2005) Thèmes de recherche Conception de circuits et systèmes intégrés Mots clefs CMOS, radiofréquence, UWB, RFID, carte à puce, imageur CMOS, cryptographie, cartes à puce, antenne, micro-onde, circuits intégrés, traitement du signal, traitement de l’information Publications les plus marquantes des 8 dernières années 1. Zaid L. et al., IEEE tans. Ant. Pro., 1999 (indice : 28) 2. Tételin et al., J. Appl. Phys, 1998 (indice : 17) 3. Ouslimani A., Gaubert J et al.- IEEE trans. MTT, 2002 (indice : 7) BILAN SCIENTIFIQUE 93 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Equipements spécifiques Serveurs de calcul : SUN V890 CAO : Cadence, Mentor Graphics, ADS, HFSS, SYNOPSIS Mesure RF : Analyseur de réseaux 20GHz, Oscilloscope temps réel 12GHz, Banc de mesure système 80MHz de bande passante, Analyseur de spectre 44GHz, chambre anéchoïde. Partenaires industriels et publics STMicroelectronics, ATMEL, GEMPLUS (GEMALTO), Inside Contactless Collaborations scientifiques Conseil Général des Bouches-du-Rhône-convention 2004-LAB1/STMicroelectronics ATMEL : Projet EREVNA : Conception de dispositifs robustes pour ‘cartes à puces’. CIM PACA : plateforme conception & plateforme Micropacks RTP SOC Action Spécifiques CNRS : ‘radio logicielle’, ‘system on chip’ 94 CONCEPTION DE CIRCUITS INTEGRES RAPPORT D’ACTIVITE CONCEPTION DE CIRCUITS ET SYSTEMES INTEGRES RADIO FREQUENCES (RF) Ce thème de recherche a pour objectif de répondre aux besoins des systèmes intégrés pour les télécommunications sans fil. Nos actions se sont focalisées autour de deux projets de recherche principaux qui impliquent la Société STMicroelectronics et qui sont soutenus par le Conseil Général des Bouches du Rhône au travers d’une convention de recherche pluriannuelle. 2002 - 2006 2004]. La fonctionnalité des principaux blocs hautes fréquences a été vérifiée par des mesures sous pointes sur un véhicule de test (Fig. 1). Outre de nombreuses valorisations académiques, ce projet a donné lieu à de fortes retombées industrielles au travers de 12 dépôts de brevets. Système RF faible consommation Le premier projet concerne la conception d’un système intégré de communication RF autour de la norme 802.15.4 dont la fréquence porteuse est de 2.45GHz. Bien que de nombreux circuits et systèmes intégrés existent dans cette gamme de fréquence, ce projet présente un caractère novateur à travers le haut niveau d’intégration visé (Analogique HF et BF, CPU et périphériques intégrés sur la même puce), le faible coût de fabrication exigé (technologie CMOS standard 0.28μm avec un minimum d’éléments externes), ainsi que la faible consommation de puissance requise pour les applications envisagées. Ces contraintes fortes ont nécessité la mise en œuvre de solutions innovantes dans divers domaines. Une architecture sans fréquence intermédiaire et sans convertisseur analogique numérique utilisant un démodulateur de type ZCD [Dehaese N. et al., IEEE Radio and Wireless Symposium, 2006] nous a permis de réduire la consommation de puissance et de surface de silicium [Bourdel S. et al., Annals of Telecommunications, 2004]. En ce qui concerne la partie numérique, un protocole de communication optimisant le compromis entre taux d’erreur bits et débit a été développé en ayant recours à des techniques à base notamment d’étalement de spectre (par exemple : codes de Barker). Afin de s’affranchir de communications ‘RF parasite’ à 2.45 GHz pouvant altérer la réception des messages envoyés par notre système de communication, des solutions nouvelles basées sur la théorie des signaux stochastiques ont été proposées. Du point de vue des fonctions analogiques hautes fréquences des solutions innovantes ont été développées autour du VCO et du LNA de l’émetteur récepteur. On peut citer un VCO à haut niveau d’intégration et à faible consommation présentant un faible bruit de phase et une plage de commande importante [Cheynet de Beaupré V. et al., Analog Integrated Circuits and Signal Processing 2006], ainsi qu’une méthode de conception d’amplificateurs faible bruit CMOS totalement intégrés [Egels M. et al., Electronics Letters BILAN Figure 1. Test sous pointes de la plage de variation du VCO. Systèmes RF UWB Le deuxième projet initié en 2004 vise à lever les principaux verrous technologiques limitant le développement des systèmes de communication large bande dans la bande UWB 3.1-10.6 GHz. Nous travaillons sur les systèmes utilisant des impulsions codées qui sont très prometteurs dans l’optique de solutions de communications à haut débit et à très faible coût. En ce qui concerne la partie numérique, nous avons étudié l’influence de la nature des impulsions à transmettre permettant en réception de s’affranchir de manière optimale des phénomènes d’échos inhérents aux communications UWB. Au niveau du récepteur, une structure parallèle du type « filtre adapté » a été développée. Pour ce qui concerne les fonctions analogiques hautes fréquences, des solutions ont été proposées en technologie CMOS 0.13μm pour le LNA, la génération des impulsions, et le convertisseur analogique numérique. Une méthode de conception originale de LNA large bande CMOS utilisant une adaptation d’impédance à base de filtres LC, assurant le contrôle de la bande passante, un gain en tension élevé avec un facteur de bruit raisonnable, a été publiée [Gaubert J. et al., Electronics Letters, 2005]. En parallèle de ces deux projets des activités de recherche plus prospectives sont menées concernant l’exploration des potentialités offertes par les nouvelles filières technologiques CMOS nanométriques pour la réalisation de fonctions très hautes fréquences. Les applications possibles sont les systèmes radars pour l’automobile dans la bande 22-29GHz ou les systèmes de communications autour de 60GHz. Dans ce cadre, un amplificateur faible bruit en bande K a été développé ainsi qu’un SCIENTIFIQUE 95 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE amplificateur distribué dont les performances sont encourageantes. Une bande passante de supérieure à 40GHz avec un gain de 9db a été mesuré sur un amplificateur distribué réalisé en technologie CMOS 130 nm. Ces performances constituent l’état de l’art en technologie CMOS standard. La figure 4 montre une photographie du circuit de test réalisé et en particulier l’étage multiplieur. Figure 2. Amplificateur distribué CMOS 130nm CONCEPTION FREQUENCE (RFID) DE A CIRCUITS RADIO IDENTIFICATION Les axes de recherche dans le domaine de la RFID portent sur la réalisation d’interfaces analogiques et la conception d’antennes miniatures pour les systèmes UHF (860960MHz), et l’étude des signaux et systèmes RFID-HF (13,56MHz). RFID UHF (860-960MHz) Les différents travaux ont été menés en collaboration avec la société STMicroelectronics. L’objectif des systèmes RFID (Radio Frequency IDentification) est la transmission d’informations entre un lecteur et un récepteur passif, c’est à dire ne possédant pas d’alimentation interne, situés à une distance de quelques mètres ( ~10m). La Fig.3 présente les différents blocs d’un transpondeur RFID UHF. Figure 3. Schéma bloc tag RFID UHF Nos travaux ont porté sur l’étage de récupération d’énergie, en particulier le convertisseur RF/DC, connecté sur une antenne. De manière à optimiser le transfert d’énergie de l’antenne du transpondeur (tag) à la puce, nous avons montré que la conception du convertisseur et celle de l’antenne sont liées l’une à l’autre. En effet, l’antenne doit être adaptée au convertisseur ce qui entraîne quelques restrictions quant à la conception du tag [Bergueret E. et al, Proc. ICM2005, 2005]. L’ajout d’un VCO fonctionnant à très faible tension (1V@1µA) a été envisagé, ainsi que l’utilisation de transistors MOS fonctionnant sous le seuil pour l’étage de récupération d’énergie. [Bergeret E. et al, brevet 05-RO-31, 05/12441, 2005]. 96 CONCEPTION DE Figure 4. Photographie du Front end En parallèle, une méthode de conception d’antenne a été développée afin de réaliser des antennes qui puissent répondre aux nombreuses contraintes liées à la RFID. En particulier, la taille de l’antenne, le faible coût, le support sur lequel est déposé l’étiquette et l’environnement où celle-ci évolue. Les topologies retenues pour réaliser nos antennes sont de type dipôle, dipôle repliée, lignes à méandres (MLA), voire hybrides (association de ses différents types). De plus, un modèle analytique a été développé de manière à prédimensionner nos antennes et réduire fortement les temps de conception. [Margalef A. et al. , Proc. JNM, 2005]. RFID HF (13,56MHz) Les travaux de recherche dans le domaine de la RFID-HF (13.56MHz) portent sur l’augmentation des débits de communication et des distances de fonctionnement des systèmes existants, pour élargir les applications à celle du passeport électronique par exemple. L’équipe participe aussi au projet CPC (Contactless Proximity Cards). CPC est un des projets labellisés par la plateforme Micropacks du CIMPACA (Centre Intégré de Microélectronique). Ce projet vise à développer un centre de pré-certification des produits sans contact conformes à la norme ISO/IEC 14443 et 10373-6 (passeport électronique, e-visas, cartes bancaires sans contact). Ces travaux de pré-certification doivent permettre à terme la mise en place d’un laboratoire d’évaluation et de certification au sein du L2MP (couche RF et protocole) des produits sans contact aux membres partenaires de CIMPACA (Gemplus, Atmel Rousset, ST-Rousset, ASK, Inside Contactless, SPS ...). CARTE A PUCE Génération de fréquence Une des thématiques traitée dans ce domaine, en collaboration avec la société ATMEL concerne le protocole de communication et la génération de fréquence pour les cartes intelligentes avec comme contraintes fortes une récupération d’horloge sans référence et une CIRCUITS INTEGRES RAPPORT D’ACTIVITE grande précision d’horloge afférente aux transferts de données séries entre deux entités. Plus précisément, il s’agit de proposer des solutions innovantes dédiées aux interfaces de communications des cartes à puce, par l’analyse et la définition de protocole optimisant le transfert de données d’une part, puis, d’autre part, par la réalisation de systèmes électroniques (PLL, DLL) prenant en compte les contraintes liées à la synchronisation des dispositifs sans aucune référence (système sans quartz), ainsi qu’à la génération de l’horloge ayant la précision requise pour assurer une transmission isochrone des données. Protection des alimentations Dans ce projet, en collaboration avec la société STMicroelectronics, nous étudions et mettons en œuvre des solutions pour protéger les lignes d’alimentations contre la fuite d’informations. Une des solutions choisie a été d’optimiser le rendement du système (convertisseur de tension) puis d’intégrer une partie sécuritaire greffée sur le convertisseur. Pour cela, une approche traitement du signal a été introduite reposant sur des techniques de détection, de modélisation et d’estimation. Les solutions proposées reposent sur l’utilisation d’une interface sécuritaire commandée par un oscillateur chaotique, utilisé pour simuler des signatures en consommation des activités internes de la carte [Telandro V. et al, Proc. MWCAS , 2006]. Afin de valider la solution retenue, le passage du test FIPS a montré la décorrélation entre l’activité interne du microprocesseur et l’information transitant sur les plots d’alimentation. Une étude approfondie des fuites d’information a aussi été effectuée via l’utilisation de la reconnaissance de formes. De nouveaux outils d'analyse d'images et de classification d'objets sont mis en œuvre, afin de réaliser une analyse du niveau de sécurité des cartes à puce. Ces méthodes tendent à caractériser par une approche géométrique et topologique le signal de consommation courant du composant électronique, afin d'en déterminer la fiabilité d'un point de vue cryptographique. Gestion de la consommation Ce projet vise à maîtriser la consommation globale en énergie d’une carte à puce. La méthodologie d’analyse porte autant sur l’aspect conception, en amont de la fabrication du système, que sur sa validation postfonderie. La phase de vérification vise à modéliser la consommation des différents blocs architecturaux d’une carte à puce (CPU, EEPROM, DES), à analyser leurs réponses aux instructions fonctionnelles (e.g. lecture d’EEPROM), et à garantir ainsi le respect des contraintes énergétiques (normes ISO). La phase de validation post-fonderie met en œuvre la caractérisation in-situ des cartes à puce et permet d’améliorer les modèles prévisionnels concernant la consommation. BILAN 2002 - 2006 Cryptographie Les cartes à puce et les lecteurs de cartes sont des systèmes ouverts dans lesquels peuvent être téléchargées de nouvelles applications. Il est donc nécessaire de garantir l'authentification, l'intégrité et la confidentialité des informations. L'implantation matérielle ou logicielle d'algorithmes de cryptographie offre ces garanties. Nous concevons et réalisons l'implantation matérielle et optimisée de ces algorithmes. Ces implantations doivent également contrer d'éventuelles attaques de type "side channel" réalisables à partir d'informations sur les temps d'exécution de l'algorithme, sur la puissance consommée. Les solutions matérielles conçues actuellement au sein du laboratoire ont des architectures massivement parallèles. Elles sont implantées sur circuits programmables FPGA et peuvent atteindre des débits de 13 Gbps. INTERFACES ANALOGIQUES FREQUENCES (BF) BASSES Circuits très faible consommation La conception de circuit très basse puissance est synonyme de fortes contraintes en terme d’alimentation de circuits (1V, 50nA). En technologie CMOS standard, cela engendre l’utilisation de modes de fonctionnement du transistor non conventionnels, tels que la faible et la moyenne inversion. Nous collaborons depuis 2003 avec les sociétés ATMEL [Rudolff et al., Proc. SPIE, 2005] et STMicroelectronics [Guigues F., Proc. FTFC, 2005] sur la conception de cellules analogiques utilisant les transistors MOS en régime d’inversion. Les applications sont principalement centrées sur la mise en œuvre de circuits pour cartes à puce comme les références de tensions ou de courants. SoC pour Imageurs CMOS Ce projet de recherche vise l’intégration sur silicium en technologie CMOS de R&D avancées dans le domaine de l’imagerie. Le spectre de compétences s’étend de la compréhension de la technologie imagerie, à la simulation et la modélisation de pixels actifs (TCAD), à la conception de circuits (CAD) jusqu’à la réalisation de Système sur Puce (SoC & SmartSensor). Les derniers travaux ont porté plus particulièrement sur l’étude et la modélisation de pixels actifs à photogrille (PG) en technologie CMOS [Casadei B., Trans. Circuit & sys. WSEAS, vol. 4, 2005]. Plusieurs modèles de simulation (ISE, Mathcad, VerilogAMS) ont été élaborés et un circuit prototype type VGA comportant plusieurs motifs test PG a été caractérisé avec le soutien d’un partenaire industriel. Les travaux en cours s’orientent vers l’intégration sur silicium de fonctions avancées dédiées à la vision 3D. Circuits mode courant A partir d’une représentation en courant de l’information, nous étudions et proposons de nouvelles topologies de circuits analogiques rapides et programmables [Barthélemy H. et al., Elect. Lett., 2003]. SCIENTIFIQUE 97 RAPPORT D’ACTIVITE 2002 - 2006 Equipe Microcapteurs Responsable Khalifa Aguir Permanents Marc Bendahan, maître de conférences, Université Paul Cézanne David Brutin, maître de conférences, Université Paul Cézanne Hervé Carchano, professeur de l’Université Paul Cézanne Thierry Contaret, maître de conférences, Université Paul Cézanne Jean-Pierre Dallas, ingénieur d’études CNRS (50%) Marie-Angèle Frémy, maître de conférences, Université du Sud Toulon Var Jean-Raymond Gavarri, professeur de l’Université du Sud Toulon Var Eveline Gillet, professeur, Université Paul Cézanne Marcel Gillet, professeur émérite, Université Paul Cézanne Jacques Guérin, ingénieur de recherche, Université Paul Cézanne Frédéric Guinneton, maître de conférences, Université du Sud Toulon Var Christian Jacolin, professeur de l’Université Paul Cézanne Caroline Lambert-Mauriat, maître de conférences, Université Paul Cézanne Pascal Lauque, maître de conférences, Université Paul Cézanne Christine Leroux, professeur de l’Université du Sud Toulon Var Dave Lollman, maître de conférences, Université Paul Cézanne Véronique Madigou, maître de conférences, Université du Sud Toulon Var Christophe Martino, technicien Université du Sud Toulon Var (50%) Jean Musso, maître de conférences HDR, Université du Sud Toulon Var Geneviève Nihoul, professeur émérite, Université du Sud Toulon Var Jean-Luc Seguin, professeur de l’Université Paul Cézanne Sylvie Villain, maître de conférences de l’Université du Sud Toulon Var Professeur, Université Paul Cézanne [email protected] Visiteurs, Postdoctorants, ATER Romain Delamarre, Post-doc Philippe Guaino, Post-doc Kieu-An Ngo, ATER Doctorants thèses en cours Habib Chalabi Radek Chmielowski Georges Darnis Thomas Fiorido Manar Khachane Pawel Nowakowski Khalid Ouzaouit thèses soutenues Latifa Aneflous (2005) Radouan Boulmani (2006) Magdalena Chmielowska (2005) Sami Gomri (2006) Ahmed Labidi (2006) Kieu-An Ngo (2006) Sebastien Saitzek (2005) Thèmes de recherche Microcapteurs, multicapteurs de gaz et matériaux sensibles Mots clefs Capteurs, microcapteurs, multicapteurs, nez électronique, capteurs sur support souple, capteurs piézo-catalytiques, détection infrarouge, couches minces, oxyde métallique, nanomatériaux, lacunes, réactivité, fiabilité, spectroscopie de bruit. Publications les plus marquantes des 8 dernières années 1. Guinneton F. et al. – J. Phy. Chem. Sol. 62, 2001 (indice : 15) 2. Aguir K. et al. – Sensors & Actuators B, 2002 (indice : 14) 3. Bendahan M. et al. - Sensors & Actuators B, 2004 (indice : 6) BILAN SCIENTIFIQUE 99 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Equipements spécifiques Dispositifs de caractérisations électriques : Bancs de tests automatisés (DC : HP 4140B, Keithley 228 ; AC : analyseur de réponse en fréquence Solartron 1250 + adaptateur de hautes impédances, pont RLC HP 4275 ; Bruit électronique : analyseur SR785) sous atmosphère contrôlée, pour microcapteurs et multicapteurs. Dispositifs de caractérisations physico-chimiques : Spectroscopie IRTF + analyse gaz émis f(T), spectromètre d’émission optique, Ellipsomètre IR, Analyses thermiques hautes performances TG, DSC (Perkin), Microscopie AFM couplée à des mesures de conductivité (Resiscope), hotte à flux laminaire, Spectromètre AUGER, Diffraction d'électrons sous incidence rasante (RHEED), Microscope à champ proche par force atomique (AFM). Dispositifs de dépôts de couches sensibles : bâtis multi cibles de pulvérisation RF magnétron, bâtis d’évaporations, spin-coating, réacteurs de synthèse chimique (sol gel, …), nano poudres. Utilisation de la plateforme technologique du LAAS Toulouse pour la réalisation des microcapteurs et multicapteurs. Partenaires industriels et publics CEE « Nanochemsens », Ministère - CNRS ACI NELI, Projet plateforme Micropoly CIM-PACA, Région PACA, Départements Bouches-du-Rhône et Var, DGA (CTA, Arcueil), Dassault Aviation, VEGATEC, SERES, CESIGMA (La garde), CNIM (La Seyne), IBS, SENSeOR, LAAS- CNRS Toulouse, ENS Mines St. Etienne, Université de la Méditerranée, Université Sciences et Technologies de Cracovie– Pologne, Université de Düsseldorf - Allemagne, Université de Tarragone - Espagne, Universités Marrakech et Agadir - Maroc, Université du 7 novembre de Tunis - Tunisie, Université de Natale – Brésil Collaborations scientifiques ACI : NELI (Nez Electronique Intégré) (2004-2007) avec le LAAS (Toulouse) et l’Ecole des Mines de St Etienne : Microcapteurs et multicapteurs de gaz et sélectivité. Contrats : DGA-Arcueil, Dassault-Aviation, CIBA (Italie) CIMPACA /MICROPOLY : Microélectronique Polymère & Jet de Matière/ Action Microcapteurs Imprimés Contrat Européen Nanochemsens : Nanostructures for Chemical sensors (2004 – 07) Projet Région PACA en collaboration avec L’Institut Fresnel (Marseille) : Microcapteurs optiques et électriques PIR CNRS « Microfluidique et Microsystèmes fluidiques » avec l’équipe MHEQ de l’IUSTI - Projet labellisé en juin 2003. Financement complémentaire par le CG13 en partenariat avec l’entreprise SERES : Microsystèmes fluidiques pour la détection des gaz Action intégrée franco-tunisienne « CMCU » avec IPEST (Université du 7 novembre de Tunis, 2003 -2006), thèse en cotutelle : Etude des capteurs de vapeurs organiques. Action Intégré POLONIUM (Pologne) : 2002-2004 Accords de cotutelle de thèses Université AGH Cracovie, Universités Agadir-Marrakech, Université Natale, Brésil, Réseau de capteurs gaz : CESIGMA (La Garde-83), SERES (Aix-13) 100 MICROCAPTEURS D’ACTIVITE L’objectif général des activités de l’équipe est la réalisation et l'étude de micro et multicapteurs de gaz présentant des performances répondant aux contraintes industrielles de coût, sensibilité, sélectivité, stabilité. Ces travaux s’appuient sur la réalisation d’objets technologiques innovants et sur des études plus fondamentales concernant les matériaux constituant l’élément sensible et les mécanismes de détection à sa surface. Parallèlement, l’équipe développe des études de traitement des signaux et des données en vue d’améliorer la sélectivité des capteurs avec une orientation nez électronique. MICROCAPTEURS ET MULTICAPTEURS DE GAZ A BASE DE WO3 La réalisation de microcapteurs intégrés sur silicium nécessite la maîtrise de différentes étapes technologiques : dépôt des couches minces sensibles [Bendahan M. et al., Sensors and Actuators B, 2004] optimisation de l’élément chauffant, conception et réalisation du dispositif complet, avec en particulier la résolution du problème de passage de marche qui devient crucial aux températures de fonctionnement des microcapteurs : 200 à 400°C. Le travail sur les microcapteurs comportant une seule membrane autoportée, nous a permis d’aller beaucoup plus vite dans la conception et la réalisation des multicapteurs. Des systèmes à deux, quatre et six membranes autoportées, sur une même puce ont été réalisés. Leur caractérisation est actuellement en cours. 2002 - 2006 des semiconducteurs bien que plus complexe à mettre en œuvre et nécessitant un traitement numérique. Le besoin d’améliorer la sélectivité des capteurs nous conduit maintenant à prendre en compte leur comportement transitoire qui traduit de façon globale les différentes cinétiques d’adsorption-désorption des gaz présents dans l’atmosphère à contrôler. Un modèle dynamique, tenant compte des constantes cinétiques et des énergies d’activation des réactions mises en jeu, est en cours de développement. Sous sa forme actuelle, le code permet le calcul de la réponse électrique du capteur à une succession de créneaux de concentration d’ozone dans l’air pour une température fixée. 90 60 30 60 0 Reponse RAPPORT 0E+0 1E-2 30 0 0E+0 2E-2 4E-2 6E-2 8E-2 1E-1 Temps (ua) Figure 2. Réponses calculées d’un microcapteur sous ozone en fonction du temps, pour deux largeurs de créneaux différentes (unité temporelle arbitraire) –L2MP Détection sélective électronique Figure 1. Microcapteur et multicapteur avec quatre membranes indépendantes Modélisation de la réponse des microcapteurs de gaz à oxydes semiconducteurs La modélisation de la résistivité des couches microcristallines d’oxydes semiconducteurs telles que WO3 réalisées au laboratoire pour la fabrication de microcapteurs de gaz a été entreprise en 2002. Les premiers essais basés sur le modèle d’adsorption de Langmuir et les équations de transport classiques appliquées aux grains partiellement désertés ont permis de comprendre le comportement qualitatif de ces capteurs et d’approximer la courbe de réponse statique de nos échantillons [Guérin J. et Al., Sensors and Actuators B, 2005]. Une amélioration importante a été apportée par l’adoption du modèle d’adsorption de Wolkenstein, beaucoup mieux adapté au cas BILAN par nez Ce sujet aborde le problème de la détection sélective des gaz par l’étude d’un système multicapteurs. Le dispositif est basé sur la combinaison de plusieurs capteurs et de méthodes de traitement de données. Pour ce faire, un banc de tests incluant une matrice composée de plusieurs capteurs a été réalisé. Ces capteurs nécessitant un chauffage de la couche sensible, entre 200°C et 450°C, nous avons étudié deux procédures de chauffage : un mode isotherme, avec un chauffage par paliers de température, et une modulation thermique par un signal de chauffage triangulaire. Les données fournies par le système multicapteurs sont ensuite analysées par une méthode classique d’analyse multivariables. Les performances de deux méthodes d’analyse, l’analyse en composantes principales (PCA) et les réseaux de neurones artificiels (ANN), ont été évaluées en fonction du but recherché (classification ou identification, nombre de gaz à identifier, mélange de gaz, concentration fixe ou variable). La première méthode de chauffage, appliquée à une matrice de six capteurs, a permis de SCIENTIFIQUE 101 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE classer et d’identifier cinq gaz (CO, NH3, H2S, C2H2 et NO) sous une concentration de 100 ppm. Deux paramètres de la réponse des capteurs exposés au gaz ont été pris en compte : la réponse transitoire et la valeur finale du signal (figure 3). De plus, nous avons pu mesurer la concentration de CO et NO en mélange avec une erreur acceptable (10%). Calculs de structure basés sur la DFT 3 PC2 (26.94 %) 2 1 0 -1 NH3 H2S CO NO -2 (b) -3 -4 -3 -2 -1 0 1 2 3 PC1 (57.63 %) Figure 3. Classification de quatre gaz en utilisant la réponse transitoire des capteurs La deuxième procédure de chauffage, utilisée avec une matrice de quatre capteurs, a permis d’identifier trois gaz (CO, C2H2, H2S) avec des concentrations variant de 25 ppm à 100 ppm. Ce sujet de recherche a débuté avec la thèse Ngo K.A soutenue en juin 2006 et dont une partie des résultats obtenus a été publiée [Ngo K.A. et al., Sensors and Materials, 2006]. L’étape suivante de ce travail est l’application de ces méthodes aux multicapteurs intégrés développés au laboratoire. Bruit électronique et multicapteurs Une première étape importante a été franchie dans la modélisation du bruit dans les capteurs de gaz avec un premier modèle du bruit d’adsorption-désorption qui montre le lien entre le bruit et la nature du gaz détecté [Gomri S. et al., Sensors & Actuators B, 2005] (voir rubrique « faits marquants »). Diagnostic thermique capteurs sur Silicium des micro- L’objectif de ce travail est d’optimiser le chauffage intégré des microcapteurs, en utilisant la simulation numérique. L’intérêt est de modéliser les futurs microcapteurs sur des membranes autoportées, en étudiant leur comportement thermoélectrique. Un des points essentiels concerne l’évaluation de l’uniformité de la température de l’élément sensible. Zone de chauffage : 100 µm Figure 4. Homogénéité de la zone de chauffage et rigidité de la membrane 102 Par ailleurs, dans le cas des microsystèmes fluidiques, les éléments sensibles sont disposés sur une membrane. Nous avons simulé le comportement thermomécanique, en particulier la déformation de la membrane, pour déterminer l’épaisseur suffisante assurant une bonne rigidité et un fonctionnement efficace, avec un minimum de déformation. électronique Tout comme SnO2, ZnO ou TiO2, oxydes semiconducteurs couramment utilisés dans les applications capteur de gaz, WO3 est sousstœchiométrique en oxygène. Aussi avons-nous comme projet l’étude des surfaces de WO3 sans défaut dans un premier temps et avec des lacunes d’oxygène par la suite pour in fine étudier l’adsorption de différentes molécules sur ces surfaces. La première étape de ce projet a été réalisée durant l’année 2005 et concerne l’étude de la formation d’une lacune neutre d’oxygène en volume par des calculs ab initio basés sur la DFT, dans les approximations LDA et GGA. Le code Siesta a été choisi en raison du grand nombre d’atomes à traiter. Un effet de relaxation important a été mis en évidence selon l’axe où la lacune est créée. Par ailleurs, la formation d’une lacune neutre induit le remplissage partiel de la bande de conduction, plus particulièrement les états 5d du W, ainsi que le décalage du niveau de Fermi vers les hautes énergies d’oxygène. Ceci est en accord avec le fait que WO3 est de type n, les lacunes d’oxygène jouant le rôle de donneurs d’électrons. Les résultats de cette étude préliminaire ont été publiés : C. LambertMauriat et Al. Phys. Condens. Matter, 2006 ; et s’ouvrent maintenant sur l’étude des surfaces de WO3 par la technique des « slabs ». Couches minces de WO3 Ces études concernent essentiellement la caractérisation des surfaces des couches minces sensibles (~40 nm). L’objectif visé est de faire le lien entre les conditions de dépôts, la morphologie, les propriétés structurales des couches minces et les performances de détection des capteurs. La taille des grains et la rugosité de surface, analysées par AFM, dépendent de la proportion d’oxygène présente au moment de la fabrication de WO3. Des études en AES ont permis de montrer un décalage de la distance interatomique entre le tungstène et l’oxygène, observée par un décalage énergétique dans les spectres Auger, lié probablement à la sousstœchiométrie de WO3. En l’absence de données concernant la structure de bande pour la surface de WO3, des études ESCA et DOS sont faites en collaboration avec l’Université Charles, Prague, avec en particulier l’utilisation du rayonnement synchrotron d’ELLETRA à Trieste. De plus, dans le cadre de l’amélioration de la sélectivité des capteurs, une étude de l’influence d’ajouts de métaux nobles (Pt, Au…), sous forme de nano-grains en surface a été MICROCAPTEURS RAPPORT D’ACTIVITE commencée. L’effet catalytique éventuel de ces ajouts est analysé par les mêmes techniques, et comparé avec les résultats de caractérisations électriques, en particulier les mesures de spectroscopie d’impédance [Labidi A. et al., Sensors & Actuators B, 2005]. MATÉRIAUX MULTIFONCTIONNELS POUR LA DÉTECTION Le thème central développé porte sur l’étude de matériaux multiphasés multifonctionnels pour la détection. Ces matériaux sont en général à base d’oxydes, obtenus sous forme de nanoparticules ou de couches minces nanostructurées. Ils présentent des propriétés électroniques ou chimiques (transition électronique isolant - métal, conduction électronique ou ionique, piézoélectricité, catalyse) qui varient avec la température d'utilisation. Trois thèmes ont ainsi été développés depuis 4 ans au travers de plusieurs thèses notamment : (1) matériaux à propriétés modulables, pour détection à distance (marqueurs), (2) matériaux pour détection infrarouge (thermochromisme de VO2), (3) matériaux pour microcapteurs de gaz (phases actives WO3, CeO2 ou nouveaux supports ferroélectriques ou piézoélectriques). Matériaux pour « marqueurs », propriétés modulables à L’étude a porté sur la réalisation d’assemblages de récepteurs acoustiques et d’antennes métalliques résistant à la corrosion. Il s’agissait de réaliser des systèmes marqueurs « à bas coûts » capables de transformer une impulsion mécanique au travers d’un capteur piézoélectrique (récepteur acoustique) en signal électrique au travers d’une antenne émettant alors un signal électromagnétique (émetteur). Dans le cas de composites PLZT/Al2O3 [E. Thommerel, et al. Materials Science & Engineering, 2003] des fréquences de résonance variables ont été obtenues et ont été simulées à partir de circuits électriques équivalents. Pour réaliser des antennes « émettrices » à bas coûts, des composites polymères – métal ont été élaborés et étudiés : les réponses électriques ont été modélisées en faisant appel à des approches issues de l’approximation du milieu effectif et de la théorie de la percolation [E. Thommerel et al. , Materials Science and Engineering A, 2002]. Des études de corrosion ont été réalisées sur ces systèmes et des approches issues de modèles d’Avrami ont été appliquées [Villain S., et al., Recent Research Developments in Solid State Ionics, S.G. Pandalai (ed), 2003]. Matériaux pour détection infrarouge La transition isolant métal à 68°C de VO2 peut être utilisée dans de multiples applications : thermistance, fenêtre optique active dans l’infrarouge, surfaces à émissivité variable, microbolométrie. Cette dernière application a intéressé la DGA et Dassault-Aviation, pour ses aspects signature infrarouge des objets mobiles. Nos études ont porté sur : (1) l’étude BILAN 2002 - 2006 de l’influence, sur les contrastes optiques, des états de surface de couches minces thermochromes de VO2 [Guinneton F. et al., Thin Solid Films, 2003 ; Guinneton F. et al., J. Physics Chemistry of Solids, 2005]; (2) la réalisation et l’étude de doubles couches thermochromes de VO2 - CeO2, à fort contraste optique. L’intérêt du dioxyde de cérium réside dans sa stabilité chimique (protection de VO2) et dans sa transparence aux IR. Ces doubles couches pourraient améliorer la durabilité de bolomètres à base de VO2. Cette étude fait l’objet d’un fait marquant développé dans le rapport d’activité. Matériaux pour microcapteurs de gaz Dans le cadre des études de nouveaux matériaux pour microcapteurs de gaz, nous avons développé depuis 2002 des études de systèmes à base de cérine CeO2 [Villain S. et al., Journal of Metastable and Nanocrystalline Materials, 2002], de cérine substituée Ce1xNdxO2-y ou de systèmes multiphasés CeO2MOx. Nano-composites CeO2-CuOx Des systèmes à base de nano-composites catalytiques ont été synthétisés par voies solgel. Ils sont constitués de cristallites de cérine CeO2 (4 à 8 nm) et de cristallites de phase CuO majoritaire (100 nm), avec une phase Cu2O. Ils ont été étudiés en tant que catalyseurs de la conversion de gaz CH4 (et CO) en CO2 et H2O, ces gaz étant présents au sein de mélanges industriels air – CH4 et air-CO (2500 ppm). L’analyse des effets catalytiques a été réalisée par spectroscopie infrarouge des gaz émis après catalyse à diverses températures. Pour ces mélanges, il apparaît une saturation des sites catalytiques reliée au taux d’additif CuOx. La quantité totale de CO2 produite a été modélisée à partir d’une loi d’Avrami de type X = X0(1- exp(-K. tm) où K est un paramètre cinétique et où m est trouvé voisin de 2. Des mesures électriques en fréquences montrent que les additifs au cuivre permettent de moduler les cinétiques chimiques mais diminuent les amplitudes des effets catalytiques (efficacité). Modifications microstructurales couches minces à base CeO2 de Cette étude est menée dans le cadre d’une action POLONIUM (2002 à 2006). Des couches minces polycristallines de CeO2-CuOx ou CeO2Nd2O3, ont été déposées sur substrat de silicium [001] par ablation laser, à partir de cibles CeO2/Cu et CeO2-Nd2O3, respectivement. Les premières sont constituées de systèmes multiphasés de phases CeO2 et CuO majoritaires. Les grains passent d’une orientation préférentielle (111) pour le CeO2 seul, vers une orientation (100) au-delà de 27 % d’additif. Cette évolution microstructurale s’accompagne d’une diminution des tailles de cristallites. La présence de CuO améliore l’effet catalytique et la conductivité de surface [Chmielowska M. et al., Materials Science Engineering, 2004]. Dans le cas des couches SCIENTIFIQUE 103 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE minces issues de cibles CeO2-Nd2O3, la morphologie des grains est très différente de celle des couches CeO2-CuOx, et l’existence d’une phase substituée Ce1-x Ndx O2-y solide ne semble pas améliorer l’efficacité catalytique. Supports ferro-piézo-électriques Ce thème est développé depuis fin 2004 pour deux types d’applications potentielles : microcapteurs à ondes acoustiques de surface ou de volume (SAW, BAW) ou mémoires avancées, en collaboration avec l’équipe mémoires du laboratoire. Dans une première étude, il s’agit d’associer une couche piézoélectrique et une couche catalytique, le tout fonctionnant à des températures situées entre 150 et 600°C : la difficulté consiste à réaliser des capteurs à ondes acoustiques de volume ou de surface, dont les supports piézoélectriques pourraient résister à des températures supérieures à 600°C. Les premiers résultats obtenus concernent l’élaboration de langasite La3Ga5SiO14 à l’état polycristallin, et sous forme de couches minces par spin-coating. Les premières couches texturées ont été obtenues. La couche catalytique serait du cérate de baryum pour lequel une forte activité catalytique vis-à-vis de CH4 a été observée. Dans une autre étude, des bicouches oxyde conducteur / oxyde ferroélectrique ont été élaborées. Deux types de couches ferroélectriques ont été réalisés à partir de phases SrBi2Ta2O9 (SBT) et plus récemment Bi3.25La0.75Ti3O12 (BLT). Le substrat choisi est un oxyde conducteur (Sr2RuO4 pour SBT et Sr4Ru2O9 pour BLT) pour qu’il assure le rôle d’électrodes, tout en palliant les problèmes de fatigue, et qu’il favorise une orientation de la polarisation de la couche ferroélectrique. Dans le cas des fortes épaisseurs, le Sr4Ru2O9 et le BLT présentent une croissance colonnaire et une orientation préférentielle du substrat qui varie en fonction de la pression partielle d’oxygène. Les grains de BLT sont orientés avec l’axe c perpendiculaire au plan du substrat, ce qui n’est pas favorable pour la polarisation. Les films minces présentent une orientation à 45° du plan de la couche, ce qui est une situation beaucoup plus favorable du point de vue des applications ferroélectriques. Microcapteur L2MP/LAAS 104 MICROCAPTEURS RAPPORT D’ACTIVITE 2002 - 2006 Equipe Composants pour l’optoélectronique et la conversion photovoltaïque Responsable Ludovic Escoubas Permanents Jacques Dugas, professeur, Université Paul Cézanne François Flory, professeur, Ecole Centrale Marseille Santo Martinuzzi, professeur émérite, Université Paul Cézanne Laurent Ottaviani, maître de conférences, Université Paul Cézanne Olivier Palais, maître de conférences, Université Paul Cézanne Marcel Pasquinelli, professeur, Université Paul Cézanne Isabelle Périchaud, maître de conférences, Université Paul Cézanne Jean-Jacques Simon, maître de conférences, Université Paul Cézanne Philippe Torchio, maître de conférences, Université Paul Cézanne François Warchol, technicien, Université Paul Cézanne Maître de conférences [email protected] Visiteurs, Postdoctorants, ATER Ziyad Elalamy, (ingénieur de recherche CDD –2003 - 2004) Joao Ferreira, (ingénieur de recherche CDD – déc 2005 – juin 2006) Miroslav Jelinek (professeur invité - juin 2005) Damien Barakel (postdoc – 2004-2006) Pedro Hidalgo (postdoc – 2003-2004) Doctorants thèses en cours Renaud Bouffaron Julien Degoulange Sébastien Dubois Thomas Mangeat Florent Monestier Vanessa Vervisch thèses soutenues Andrea Arcari (2004) Nicolas Auriac (2003) Damien Barakel (2004) Emmanuel Drouard (2003) Jean François Gatto (2002) Thomas Mazingue (2005) Stéphane Rathgeb (2005) Thèmes de recherche Conversion photovoltaïque à base de silicium et de matériaux organiques, micro-nano composants pour l’optoélectronique Mots clefs Cellules photovoltaïques, silicium, organique, SiC, modélisation, réalisations, caractérisations optiques et électriques, micro/nano structuration des matériaux, antireflets structuraux, microcapteurs optiques, optique guidée et intégrée Publications les plus marquantes des 8 dernières années 1. Monneret S. et al., J. of Optics, 2, 2000 (indice : 20) 2. Palais O. et al., Journal of Applied Physics, 93, 2003 (indice : 12) 3. Drouard E. et al., Appl. Opt., 41, 2002 (indice : 5) Equipements spécifiques - Salle blanche (photomasqueur, gravure plasma et humide, «spin coating», microscope optique, MEB, profilomètre, insolation holographique, dépôt de métaux) ; évaporations sous vide ; Chargeur corona ; Four à diffusion phosphore (LYDOP) ; Fours de recuits sous flux de gaz - Test de sensibilité aux gaz des matériaux en couches minces ; Test de microcomposants d’optique intégrée - Mesures électriques en température : Réponse spectrale - IV – CV – DLTS – Résistivité 4 pointes ; Mesures Effet Hall ; Cartographies Ln et τb et S (LBIC, µW-PCD, µW-PS) ; Simulateur solaire BILAN SCIENTIFIQUE 105 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Partenaires industriels et publics Photowatt, Apollon Solar, IBS, Invensil, Moduloptic, Kloé, Thalès, Sopra, Végatec LPM (INSA de Lyon), LCMTR (Thiais), POMA (Angers), GCOM (Marseille), LGET (Toulouse), LPMC (Nice), Cegely (INSA Lyon), CEA (Grenoble), CEA (Saclay), EPM (CNRS-Grenoble), Institut Saint Louis, DGA, CR PACA, CREMSI, Pop Sud, pôle de compétitivité Optitec «Systèmes complexes d’optique et d’imagerie», pôle de compétitivité SCS, pôle de compétitivité Capénergie Collaborations scientifiques ADEME : SYNERGIE, PHOTOSIL ; ANR : REDUCOP, PHARE, DUOSIL, NANORGYSOL ; réseau NANORGASOL ; DGA REI « CONTROLE » ; C. Européenne : NANOPHOS ; POPSUD : MICRODESC, FIMEBCO 106 COMPOSANTS POUR L’OPTOELECTRONIQUE RAPPORT D’ACTIVITE L’équipe «Composants pour l’Optoélectronique et la Conversion Photovoltaïque» (OPTO-PV) est issue de la fusion d’une partie de l’ancienne équipe « Physique et chimie des défauts et impuretés dans les semiconducteurs » du laboratoire TECSEN (UMR CNRS 6122) et de l’équipe « Composants Optiques Microstructurés – COM » de l’Institut Fresnel (UMR CNRS 6133). Des capteurs optiques aux détecteurs de lumière en passant par les cellules solaires, les écrans, les diodes lasers, … l'optoélectronique qui marie les photons et les électrons prend une importance de plus en plus grande dans de nombreuses applications. La micro/nano structuration des matériaux ouvre également la voie à un grand nombre d’avancées dans ce domaine. On peut aussi souligner que la production d’énergie par conversion photovoltaïque est devenue, ces dernières années, un thème de recherche de tout premier plan. En effet, le marché du photovoltaïque a d'ores et déjà dépassé une production annuelle de 1 GW. Les ventes d’équipements pour la conversion photovoltaïque ont eu une croissance annuelle de plus de 30% dans les 10 dernières années La croissance moyenne est même supérieure à 35% pour les 5 dernières années. L’équipe est impliquée dans deux thématiques liées à la conversion photovoltaïque : - L’étude de la conversion photovoltaïque silicium en particulier en ce qui concerne le matériau et ses propriétés électriques et photoélectriques. - L’étude de la conversion photovoltaïque à base de matériaux organiques en particulier les aspects de modélisation et de caractérisation des cellules organiques. La thématique photovoltaïque silicium est historiquement la plus ancienne. Elle a permis à l’équipe de tisser des liens forts avec des partenaires institutionnels (ADEME, CNRS, CEA, …) et industriels (Photowatt, IBS, Invensil, EMIX, …). La thématique photovoltaïque organique est plus prospective et s’est développée depuis maintenant quelques années en collaboration avec de nombreux laboratoires (POMA à Angers, CEA Saclay, LGET Toulouse, CEA Grenoble, GCOM Luminy…). L’équipe est impliquée dans plusieurs projets soutenus par l’ANR à la fois sur les thématiques «silicium» et «organique». Par ailleurs, l’équipe a une large activité qui touche d'autres domaines de l’optoélectronique tels que les détecteurs et l’optoélectronique intégrée. En particulier, une étude est lancée autour des systèmes imageurs en réalisant des antireflets structuraux pour l’infrarouge (en collaboration avec Thalès Optronique et la DGA) mais également des projets existent en ce qui concerne la protection des imageurs (en collaboration avec l’Institut Saint Louis). Des études ont également été menées autour du matériau SiC pour la mise au point de BILAN 2002 - 2006 composants de puissance et nous envisageons de nous orienter maintenant vers l’étude de détecteurs UV à base de SiC. L’équipe travaille également, de manière active et depuis plusieurs années, sur les composants d’optique intégrée à la fois pour des applications liées aux télécommunications mais également pour la mise au point de microcapteurs (détection environnementale). La thématique optoélectronique de l’équipe s’appuie sur des partenariats forts avec des industriels dans le cadre de projets labellisés par le pôle de compétitivité «Systèmes complexes d’optique et d’imagerie», par ARCSYS (ex CREMSI), par la DGA ou par la Commission Européenne. L’équipe regroupe aussi bien des compétences théoriques et de modélisation, lui permettant d'aborder des problèmes fondamentaux liés à l’interaction de la lumière avec la matière et à la génération d'électrons, que des compétences et des moyens de structuration de la matière disponibles en salle blanche. Elle dispose également de moyens de caractérisation avancés aussi bien en ce qui concerne les propriétés optiques des matériaux et des composants que leurs propriétés électriques et photoélectriques. THEMATIQUE PHOTOVOLTAÏQUE Silicium Les domaines traités dans cette thématique couvrent : - La caractérisation électrique des matériaux (Si mono, Si multicristallins (mc-Si),..) : résistivité, effet Hall, longueur de diffusion des porteurs minoritaires globale et cartographie, durée de vie et cartographie, vitesses de recombinaisons superficielles. - L’élaboration de structures ou de cellules d’études (réalisation de jonctions métalsemiconducteur, réalisation d’homojonctions, extraction d’impuretés recombinantes, passivation des défauts volumiques et des surfaces par l’hydrogène, réalisation de contacts ohmiques). - La caractérisation électrique et photoélectrique des structures et des cellules (caractéristiques I.V, C-V, réponse spectrale). - La modélisation des cellules. L’équipe agrège plusieurs spécialités qui lui valent de participer à de nombreux contrats de recherche avec d’autres partenaires français et/ou étrangers impliqués dans le photovoltaïque. Parmi ces spécialités, nous pouvons citer la caractérisation des propriétés électriques du matériau, avec en particulier les cartographies de durées de vie volumiques et de vitesses de recombinaisons superficielles obtenue par la technique µW-PS. Les caractérisations des propriétés électriques volumiques globales et locales de durées de vie peuvent être complétées par des mesures de longueur de diffusion globales, par des cartographies de photocourant LBIC (Light Beam Induced Current) avec une résolution SCIENTIFIQUE 107 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE spatiale de 10 μm et les cartographies de longueur de diffusion qui en découlent (figure 1). Figure 1. Cartographie de durées de vie effectuée avec un échantillon de mc-Si. Une autre spécialité de cette thématique est l’évaluation des concentrations d’impuretés recombinantes à des niveaux de concentrations très faibles. Dans le cas du fer, qui est l’impureté prépondérante dans le silicium, nous avons montré que la technique µW-PS permet de déceler des concentrations aussi faibles que 109 at.cm-3. Afin d’améliorer les propriétés volumiques des différents types de silicium cristallin, nous utilisons des traitements d’effet getter par diffusion de phosphore et par alliage aluminium-silicium visant à extraire des impuretés nocives des régions actives du composant pour les faire diffuser et les piéger dans des zones inactives de la plaquette. Les traitements par effet getter peuvent être complétés par des traitements de passivation à l’hydrogène par exemple par dépôt de nitrure hydrogéné. En parallèle, nous démarrons des travaux portant sur les cellules à haut rendement dites cellules de troisième génération, pour l’instant sous forme de cellules tandem (contrat ANR/DUOSIL 2006) à base de silicium cristallinsilicium nanostructuré. Organique Nous avons initié, depuis deux ans, une thématique de recherche sur l’optimisation des cellules solaires organiques pour accroître leur rendement photovoltaïque. Il faut savoir que ces cellules sont constituées de deux électrodes métalliques et d’un empilement de couches minces incluant une couche organique permettant de réaliser la conversion photon – électron (voir figure 2). Cathode Al LiF Couche active PEDOT Les axes de recherche principaux concernent : - La caractérisation des matériaux en couches minces constitutifs de ces cellules (nous avons établi une collaboration étroite avec la société SOPRA pour réaliser ces caractérisations par ellipsométrie spectroscopique). - L’optimisation du champ électromagnétique au voisinage de la zone de conversion photonélectron. L’équipe a ainsi développé un logiciel spécifique qui permet une optimisation automatique des épaisseurs de l’empilement de couches minces constitutif de la cellule pour maximiser le rendement de conversion. - L’étude de micro-nano structures pour le couplage de résonances plasmons afin d’exalter le champ électromagnétique de manière localisée. Nous envisageons de pouvoir structurer, à l’échelle des longueurs d’onde du visible, les électrodes des cellules solaires organiques que nous fabriquons en salle blanche afin de pouvoir tirer profit de l’excitation de résonances plasmons aux interfaces métal-diélectrique. Ces plasmons doivent permettre de jouer sur l’absorption optique des matériaux et donc sur le rendement de conversion photovoltaïque. L’équipe fait partie du réseau national NANORGASOL qui s’est structuré ces deux dernières années autour de la thématique cellules solaires organiques et est également partenaire de l’ANR NANORGYSOL depuis janvier 2006. Dans le cadre de ce projet, nos travaux visent à caractériser finement la morphologie des matériaux moléculaires utilisés en tant que couche active des cellules organiques. Il s’agit de comprendre les liens qui existent entre la nanostructure des matériaux (présence d’agrégats, taille des agrégats) et les propriétés de collecte des photons et de transport des charges (excitons). Perspectives sur le photovoltaïque L’équipe envisage d’étudier, pour la conversion photovoltaïque, du silicium et du germanium nanostructurés. La nanostructuration doit permettre d’adapter leurs gaps au spectre solaire. Nous chercherons, dans le cadre de partenariats et de projets, à mettre au point et à caractériser ces matériaux et à les utiliser dans des cellules de type «tandem». Une autre voie de recherche porte sur l’accroissement du rendement grâce aux impuretés photovoltaïques. Il s’agit de faire l’étude de niveaux d’énergies non recombinants dans le gap permettant de générer un porteur grâce à deux photons d’énergie inférieure au gap. Nous projetons également d’étendre et d’adapter les techniques de caractérisation du silicium aux matériaux organiques (durées de vie, mesures capacitives, DLTS, LBIC). - Anode ITO ITO Verre Lumière Figure 2. Schéma d’une cellule solaire organique 108 COMPOSANTS POUR L’OPTOELECTRONIQUE RAPPORT D’ACTIVITE THEMATIQUE COMPOSANTS ELECTRONIQUES OPTO- Dans le cadre de la thématique Composants Optoélectroniques, l’équipe s’intéresse plus particulièrement aux détecteurs et aux composants optoélectroniques intégrés. Les détecteurs sont étudiés tout d’abord du point de vue des matériaux dans le cadre de travaux sur le carbure de silicium SiC (matériau utilisable pour les détecteurs UV). L’équipe s’est donné comme but de mettre en place des techniques de caractérisation (durée de vie, nature et concentration des états d’énergie dans le gap, distribution des défauts recombinants, structure des interfaces...) dédiées au suivi des différentes étapes des procédés technologiques de fabrication. Actuellement, l’équipe travaille sur les effets de l’implantation d’hélium dans le SiC, dans le but de contrôler les propriétés électriques des porteurs minoritaires. La création de nanocavités comme centres de diffusion des impuretés métalliques peut être envisagée pour l’amélioration des couches épitaxiées. Dans le cadre d’un contrat CREMSI (en partenariat avec l’entreprise Ion Beam Service), l’équipe mettra en place au laboratoire, dans les prochains mois, des outils spécifiques au SiC, tel qu’un four d’activation haute température (1800°C). L’équipe s’intéresse également à l’amélioration des détecteurs dans l’infra-rouge en particulier en structurant à l’échelle micro ou nanométrique la surface des matériaux (collaboration avec Thalès Optronique et la DGA dans le cadre d’un projet de Recherche Exploratoire et Innovation). Il s’agit dans cette étude de modéliser et de réaliser des antireflets dans les domaines de longueur d’onde de l’infrarouge (bandes 3-5 µm et 8-12 µm) en utilisant une structuration de surface (voir figure 3). Ces antireflets appelés «antireflets structuraux» seront réalisés sur des matériaux tels que le silicium, le germanium et le ZnSe. 2002 - 2006 pour détecter, en ondes guidées, la présence de nano-objets (molécules de gaz, particules). Il s’agit d’utiliser la résonance électromagnétique correspondant à un mode guidé et de mesurer la perturbation des conditions de guidage de ce mode par la présence de l’objet recherché. De nombreuses applications nouvelles dans les domaines de l'environnement, en particulier pour le domaine des gaz polluants, sont attendues. Nous avons travaillé en partenariat avec la société Cybernetix SA pour mettre au point à la fois un principe de détection optique et des matériaux en couches minces dont l’indice de réfraction varie sous l’effet du gaz butane. Ces travaux sur les micro-capteurs optiques pour les gaz ont bénéficié du soutien du CNRS avec deux projets JEMSTIC en 2001 et 2002, d’un soutien du CR PACA en 2004 et du projet Européen IST 5ème PCRD Nanophos. Dans le cadre de ce projet Européen, nous avons étudié des matériaux présentant une morphologie à l’échelle nanométrique destinée à accroître la sensibilité du matériau au gaz. Nous travaillons maintenant sur un prototype intégré de capteur optique de gaz pour pouvoir détecter de manière reproductible 100 ppm de butane dans l’air sec. Par ailleurs, une collaboration étroite est établie avec l’équipe Microcapteurs (resp. Pr K. Aguir) sur la réalisation de micro-capteurs hybrides, optiques et électriques, pour accroître leur sensibilité et leur spécificité (un brevet a été déposé). Des travaux de recherche sur les composants opto-électroniques intégrés ont été initiés dans l’équipe il y a bien longtemps (plus d’une dizaine d’années). L’équipe possède donc une expertise reconnue dans le domaine des ondes guidées, des circuits d’optique intégrée et des composants associés. Cette expertise constitue également une valeur ajoutée pour les études sur les détecteurs optoélectroniques de gaz (il est possible d’accroître leur sensibilité en jouant sur le guidage des ondes optiques) ainsi que pour les cellules solaires organiques (utilisation de résonances plasmons excitées aux interfaces matériau actif/électrodes pour accroître le rendement de conversion photovoltaïque). [001] [010] Figure 3. Cartographie AFM de la surface d’un antireflet structural réalisé en salle blanche Les détecteurs opto-électroniques de gaz constituent également une part conséquente de notre activité de recherche. En effet, il est possible de concevoir des capteurs optiques ultra sensibles utilisant des micro-composants BILAN SCIENTIFIQUE 109 RAPPORT D’ACTIVITE 2002 - 2006 Equipe Signaux et Systèmes Responsable Claude Jauffret Personnel Jean Barrère, ingénieur de recherche, Univ. du Sud Toulon-Var Georges Bonnet, professeur émérite Bruno Borloz, ingénieur de recherche, Univ. du Sud Toulon-Var Jean-François Cavassilas, professeur émérite Gilles Chabriel, maître de conférences, Univ. du Sud Toulon-Var Bénédicte Garbail-Picon, maître de conférences, Univ. du Sud Toulon-Var Annie-Claude Pignol, maître de conférences, Univ. du Sud Toulon-Var Bernard Xerri, maître de conférences, Université du Sud Toulon-Var Doctorants Professeur, Université du Sud Toulon-Var [email protected] thèses en cours Nicolas Juennard Christophe Fraschini Fabio Cismondi Fabien Bonneton Agnès Santori thèses soutenues Jean-Marc Lopez (2004) Manon Borgetto (2005) Bruno Borloz (2005) Thèmes de recherche Traitement du signal et trajectographie Mots clefs Filtrage adapté, filtrage adapté stochastique, détection, estimation, classification, poursuite, borne de Cramèr-Rao, séparation de sources, ICA, HMM, filtre de Kalman-Bucy Publications les plus marquantes des 8 dernières années 1. Le Cadre J.P. et al., IEEE Trans. on AES, 1999 2. Xerri B. et al., IEEE Trans. Signal Processing, 2004 3. Chabriel G. et al., IEEE Trans. on Signal Processing, 2006 Equipements spécifiques Système d’acquisition acoustique 8 voies (96 kHz), moniteur TFT calibrable, système d’acquisition 4 voies (40 MHz), batterie de filtres analogiques, générateur de signaux analogiques, oscilloscopes, petite électronique. Partenaires industriels et publics IFREMER, DCN, CTSN, ECA, ONERA, CEA-Cadarache, Agence de l’Eau, Hôpital d’Instruction des Armées (Ste Anne) Collaborations scientifiques CPPM BILAN SCIENTIFIQUE 111 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE L’équipe « Signaux et Systèmes » est issue du Groupe d’Etudes des Signaux et Systèmes (GESSY) du PPF STIC-Toulon. RECHERCHES FONDAMENTAL A CARACTERE Séparations d’ondes acoustiques Ce travail est une contribution au problème de séparation aveugle de sources en propagation enregistrées simultanément par un ensemble de capteurs. Contrairement aux traitements d’antenne classiques, nous proposons d’utiliser des réseaux de capteurs compacts de façon à suréchantillonner spatialement les signaux. Une source est supposée reçue sur chaque capteur avec différentes atténuations et différents retards. Quand les dimensions du réseau de capteurs sont telles que les retards sont petits vis-à-vis du temps de cohérence des signaux, différents modèles de mélanges instantanés peuvent être exploités : - modèles sur-observés (le nombre d’enregistrements excède le nombre de sources) : on montre que les sorties du réseau (enregistrements) peuvent être approchées comme une combinaison linéaire des sources et d’un nombre fini de leurs dérivées (mélange instantané). - modèles iso-observés (le nombre d’enregistrements est égal au nombre de sources) : on montre que des filtrées particulières des sources peuvent être approchées comme une combinaison linéaire des enregistrements et d’un nombre fini de dérivées des enregistrements (démélange instantané). L’identification de ces mélanges n’est plus tout à fait un problème classique de Séparation Aveugle de Sources (Blind Source Separation). Des algorithmes originaux adaptés aux différents modèles sont proposés et étudiés pour estimer ces mélanges particuliers. Conjointement aux simulations numériques un dispositif expérimental a été mis en œuvre : différentes «antennes» ont été construites à partir de microphones électret. Ces antennes couplées à une carte analogique/numérique multivoies ont permis un ensemble d’enregistrements audio. Ces enregistrements ont servi à tester avec succès la validité et la robustesse des modèles et algorithmes proposés (voir : Barrère J. et al., IEEE Trans. Circuits and Systems, 2002 et Chabriel G. et al., IEEE Trans. on Signal Processing, 2006). 112 SIGNAUX ET Filtrage adapté stochastique et ses extentions Il s’agit ici de développer le pendant du filtre adapté classique au cas de signaux aléatoires. Les signaux discrets aléatoires décrits par N échantillons peuvent être représentés parfaitement par une combinaison linéaire de N vecteurs formant une base de cet espace. Si on se limite à une combinaison linéaire de p vecteurs décrivant un sous espace associé, une question se pose alors, comment choisir ce sous-espace de dimension p. Si p=1, on utilise classiquement un critère quadratique d’erreur de reconstruction (ex. : Karhunen-Loève). Dans le cadre d’une perturbation (bruit) additive se superposant au processus d’intérêt on peut généraliser le problème en optimisant le rapport de deux formes quadratiques, appelé rapport signal à bruit. Nous proposons entre autres une méthode pour l’obtention du sous-espace optimal au sens de la maximisation du rapport signal à bruit. Nous adaptons cette méthode aux différents problèmes de la détection, la classification et l’estimation (voir : Xerri B. et al., IEEE Trans. Signal Processing, 2004). Trajectographie La trajectographie, c’est-à-dire, l’estimation des positions et vitesses successives occupées par un mobile (coopérant ou non) est l’une des fonctions terminales d’un système de surveillance (l’autre étant la classification / identification). Selon le système dans lequel elle est implantée, elle peut être qualifiée de passive ou d’active. Elle peut être réalisée : • en sortie des capteurs, si le mobile se trouve en champ proche relativement au capteur, c’est-à-dire si le signal observé ne peut plus être considéré comme stationnaire du fait du déplacement de mobile (la fonction est réalisée en exploitant cette « non-stationnarité »), • ou sur « piste extraite », c’est-à-dire sur un ensemble d’attributs cohérents dans le temps ; cela ne concerne que les mobiles se trouvant en champ lointain. La piste extraite peut être une ou des pistes de fréquence, une ou des pistes d’angles, etc. Les techniques employées sont issues de la panoplie du « tracking » : Filtre de Kalman-Bucy, Filtrage particulaire, HMM pour les techniques récursives et régressions non-linéaires pour les méthodes globales. Ce type de problèmes a suscité (et continue de susciter) des recherches à caractère fondamental sur la notion d’observabilité, la notion de performances optimales, la gestion des fausses détections, etc… (voir : Xerri B. et al., Signal Processing, 2002). SYSTEMES RAPPORT D’ACTIVITE COLLABORATIONS INDUSTRIELLES ET TRANSFERTS TECHNOLOGIQUES Nous évoquons dans ce paragraphe les collaborations que l’équipe a eues depuis janvier 2002. Ces collaborations sont le plus souvent sources de sujets de thèse originaux, assurant ainsi un transfert technologique immédiat. CTSN La DGA et plus spécialement le CTSN conçoit des systèmes passifs de détection comme les sonars ou les intercepteurs radar en guerre électronique, thématiques sur lesquelles nous avons collaboré avec le CTSN. Un modélisation originale des signaux émis par un radar aérien et interceptés a permis d’améliorer la classification voire l’identification du type du porteur (aéronef ou bâtiment de surface) (voir : De Luigi C. et al., IEEE Trans. on AES, 2005 et Lopez J.M. et al., Conférence GRETSI, 2003). DCN La chaîne classique de traitement de l’information consiste en un module de détection suivi d’un module de trajectographie et d’identification. En sortie du module de détection, il est nécessaire de construire des séquences temporelles cohérentes de points de détections à fournir au module de trajectographie. Cette fonction s’appelle l’extraction. Une convention entre STIC-Toulon/GESSY et DCN-Toulon a été signée début février 2005 à l’occasion du démarrage de la thèse de Fabien Bonneton. Le but de cette thèse est de mettre au point un algorithme d’extraction de pistes d’azimuts à partir de HMM puis de réaliser l’interface avec la trajectographie (voir : Paris S. et al., IEEE Trans. on AES, 2003). 2002 - 2006 Le travail qui nous a été confié avait pour but de développer et de qualifier un système de cartographie sous-marine optique ou sonar géoréférencé pour la surveillance de l’environnement du littoral. Ce développement est passé par des études en trajectographie et en localisation sous-marines, l’objectif étant de réaliser un système complet de mosaïquing géoréférencé. L’idée était de bénéficier du rebouclage : trajectographie → imagerie → trajectographie. D’octobre 2001 à avril 2005, une doctorante (Manon Borgetto) a travaillé sur ce problème. Elle a bénéficié d’une bourse doctorale cofinancée Région/IFREMER (voir : Borgetto M. et al. Conférence GRETSI, 2003). Projet ANTARES Le projet Antares (pour Astronomy with a Neutrino Telescope and Abyss environmental RESearch) lancé en 1996 par le Centre de Physique des Particules de Marseille (CPPM) a pour objet la détection et la trajectographie des particules cosmiques de très haute énergie que sont les neutrinos. Les neutrinos ne sont pratiquement pas déviés durant leur voyage intersidéral. Dans la mesure où l’on est capable de les détecter, l’estimation correcte de leur direction d’arrivée sera précieuse pour les astrophysiciens car elle les renseignera sur leur origine dans l’espace intergalactique. L’objectif est d’être capable de spécifier au mieux (c’est-à-dire pour optimiser à la fois la détection et l’estimation des directions des sources des neutrinos) le réseau d’antennes acoustiques qu’il faudra immerger. Un doctorant (Nicolas Juennard) a démarré sa thèse en octobre 2003. Il bénéficie d’une bourse Région / PME. (voir : Juennard N. et al., WSEAS Transactions on Signal Processing, n° 2, 2006). CEA, centre de Cadarache IFREMER Les besoins en reconnaissance de l’environnement littoral sous-marin, par divers moyens d’investigations optiques ou acoustiques, sont reconnus comme primordiaux afin d’établir dans le temps et dans l’espace des données cartographiques de référence en particulier dans les zones sensibles à caractère touristique ou industriel. Dans de nombreux cas, la constitution de ces cartes de référence est un élément de décision primordial pour les collectivités (Etat et Région) en charge de la surveillance et de l’intervention en milieu côtier. Pour ce faire, IFREMER dispose d’un véhicule sous-marin (le « poisson ») portant une caméra, tracté par un bâtiment de surface (le « porteur »), l’ensemble étant localisé par GPS et par sondage acoustique. BILAN La réception des éléments de première paroi (sorte de brique réfractaire composée de cuivre et de carbone en « sandwich » recouverte de tuiles de carbone) pour les machines de fusion contrôlée et notamment la future machine ITER, nécessite une méthode de contrôle non destructif fiable et facile à mettre en œuvre. Les ingénieurs du CEA concernés ont développé des méthodes empiriques de détection de défaut des tuiles et souhaitent que nous leur apportions via la thèse de Fabio Cismondi (dont le financement est assuré par une bourse Région / CEA.) une amélioration sensible par la mise en œuvre de méthodes statistiques quasioptimales pour répondre à leur besoin. Dans le cadre de ce projet les thèmes suivants ont été en partie traités • Détection des défauts : SCIENTIFIQUE 113 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE - Modélisation de la réponse en température d’un pixel d’une brique. - Evaluation de ses performances (probabilités des erreurs de 1ère et de 2ème espèce). • Caractérisations des défauts : Localisation et éventuellement estimation de la forme des défauts détectés par des méthodes inverses (traitement d’images) (voir : Cismondi F. et al., Société Française de Thermique, 2006). Agence de l’Eau, région PACA L’herbier de posidonies est l’écosystème pivot de la méditerranée. Il abrite et nourrit de nombreuses espèces. Il constitue un indicateur biologique de qualité des eaux, protège les côtes de l’érosion en atténuant les vagues et les courants. Localiser l’herbier au cours du temps permet de mettre en évidence des rétrécissements des prairies, véritable reflet de l’impact de l’activité humaine (ancres, pollution, ensablement, envasement…), des appauvrissements (baisse de densité due à une maladie), des disparitions au profit d’autres espèces invasives (caulerpes) et donc de contrôler l’état de santé de l’écosystème côtier. L’objet de nos travaux de recherche a été de développer, de tester et de mettre au point sur des signaux réels de sondeur mono faisceau, une méthode de traitement du signal permettant de caractériser la biocénose présente sur le fond marin. La société Sémantic-TS a été contactée pour réaliser les phases d’expérimentation. Une convention de partenariat a été signée en juin 2005. Société ECA La société ECA nous a confié une pré-étude d’un système de trajectographie active incluant le traitement du signal à la sortie des capteurs, associé à l’estimation des paramètres dynamiques de la cible sous-marine puis de la poursuite de cette cible par différentes techniques de tracking plus ou moins gourmandes en puissance de calcul. Cette prestation fut l’objet d’un premier contrat en 2002. La société ECA nous a ensuite demandé de participer à la réflexion sur la notion de « barrage acoustique déployable ». Cela a été réalisé dans le cadre d’un second contrat dont l’objet était une pré-étude incluant une réflexion sur le positionnement des capteurs et le traitement du signal associé dans le but de détecter la présence d’une source marine dans l’environnement dudit barrage. ONERA Les antennes aéroportées actuelles fonctionnent en étant montées sur des bâtis rigides, ayant pour fonction de réduire le plus possible les niveaux de déformation ou de vibration. Ceci peut contribuer à alourdir significativement 114 SIGNAUX ET la structure de l'avion, ce qui peut avoir, dans certains cas, un impact sur ses performances aérodynamiques. Par ailleurs, l'absence de vibration ou de déformation est très difficile à obtenir sur de très grandes antennes. Une solution alternative consiste alors à accepter un niveau de déformation supérieur, en prévoyant un dispositif ayant pour but de calibrer l'antenne en temps réel, c’est-à-dire d’estimer sa forme. L’ONERA nous a proposé d’encadrer une thèse (démarrée en novembre 2004 par Agnès Santori) dont le but est d'approfondir ce concept en étudiant les performances d'algorithmes de traitement de signal dits d'auto-calibration, le plus souvent développés dans le contexte sous-marin. Elle bénéficie d’un financement ONERA. Hôpital d’Instruction des Armées (Ste Anne) – Laboratoire de Neurophysiologie Clinique (développement d’outils d’aide à la décision pour l’établissement d’un diagnostic) Le processus de dégénérescence ou de guérison d’une pathologie est lié à la précocité du diagnostic. Deux axes de recherche sont étudiés : 1 - Etude des électro-encéphalogrammes classiques (E.E.G.) Il s’agit de mesurer l’activité cérébrale dans le cas où le patient est passif. - Localisation de « générateur » d’ondes alpha - Localisation de lésion 2 – Les potentiels évoqués : Rejet d’artefacts oculaires La technique des « potentiels évoqués », qui est l’étude de la réponse du cerveau à une stimulation – visuelle, auditive ou sensoriellefait partie de ces protocoles permettant le dépistage de problèmes neurologiques (voir : Grapperon J. et al., Annales de Réadaptation et de Médecine Physique, 2005). Collaboration passée avec le L2MP Nous avons étroitement collaboré avec l’équipe Conception de Circuits Intégrés, dans le cadre de deux thèses, s’inscrivant dans des contrats de recherche en partenariat avec la société STMicroelectronics. La première concerne la sécurisation des cartes à puce et plus particulièrement le masquage des fuites de courant sur les nœuds d’alimentation. Ce travail a été mené à terme par utilisation de techniques de détection, modélisation et estimation. La deuxième s’inscrit dans un vaste projet de circuit de communication RF à 2.45 GHz. Il s’agissait de déterminer le protocole de communication permettant d’avoir le meilleur compromis entre taux d’erreur bits et débit. Ceci a été mis en œuvre à l’aide de techniques innovantes à base notamment d’étalement de spectre (cf. bilan de l’équipe Conception). SYSTEMES RAPPORT D’ACTIVITE 2002 - 2006 Chercheur individuel Bernard Vidal Permanents Bernard Vidal, directeur de recherche CNRS Evgueni Meltchakov, chercheur contractuel 2003-2006 Visiteur Lionel Bertrand, professeur invité (2 mois, 2003) Doctorants thèses en cours Toufik Khachroum Vladimir Vidal thèses soutenues Hasnaa Faik (2005) Thèmes de recherche Optique en rayonnement X ; caractérisation à l'échelle du nanomètre ; métrologie Mots clefs Couches minces, multicouches en rayonnement EUV et X ; Ingénierie des dépôts fait par pulvérisation (magnétron RF) Systèmes de lithographie EUV.et X, microcopie EUV Détection et caractérisation de nano objets en X et EUV Publications les plus marquantes des 8 dernières années 1. Putero M. et al.- J. Phys Condensed Matter, 14, 2002 2. Stehle J. et al.- SPIE J. of Microlythography, 5751, 2005 3. Meltchakov E. et al.- J. Phys. Condensed Matter, 18, 2006 Equipements spécifiques Bâtis de pulvérisation cathodique, Réflectomètre en rayonnement X Microscope à force atomique et à force électrique Interféromètre de Michelson pour l'étude des contraintes Caméra en rayonnement X Partenaires industriels et publics SAGEM, SOPRA, SESO, IBS, INEL, Winlight System, SERES CEA LETI, CEA DAM, CEA DRECAM, ST Microelectronics Collaborations scientifiques Programme RMNT PREUVE, 2000-2003 Programme Européen MEDEA+ T404, 2002-2004 Equipe Projet RTP : " Réseau nano miniaturisation" LURE SOLEIL, IOTA IMT RAS (Moscou, Russie), FOM (Fred Bijkerk, NL), OSC Arizona, OCLI Santa Rosa, ALS Berkeley (USA), ENEA (Italie), BESSY BILAN SCIENTIFIQUE 115 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE OPTIQUE EN RAYONNEMENT X ET EUV. APPLICATION A LA DETECTION DES NANO DEFAUTS ET DES NANO PARTICULES Le rayonnement permettant l'étude et à la vision des nano objets doit avoir une longueur comparable à leurs tailles. Le rayonnement X de courte longueur d'onde possède cette propriété. Du simple fait de sa pénétration (faible absorption) et de son indice de réfraction très voisin de 1, ce rayonnement ne peut malheureuseFigure 1: Multicouche ment pas être Mo/Si de 6 nm de réfléchi avec période donnant un efficacité suffisante facteur de réflexion élevé (sauf sous incidenen rayonnement EUV ce rasante). Pour réfléchir ce rayonnement il faut être capable d'ajouter, en phase, le peu de réflectivité de chacune des interfaces d'une multicouche (Figure 1). Le L2MP a le savoir faire pour réaliser la multicouche dont les épaisseurs de chaque couche doivent être contrôlées à mieux que l'Angstrom. Pour cela, nous avons développé depuis 1985 un premier bâti, totalement modifié et actualisé en 2004. Un second appareillage bien plus imposant a été réalisé dans le cadre et avec l'aide du programme PREUVE (RMNT) et du programme européen Médéa + (T404) pour satisfaire les besoins de ces deux programmes (Figure 2). Ce B B C 2 cm 1 centime d'Euro Figure 3. Photo montrant le taille des petites lentilles de Schwarzschild d (BEL: A, Microscopes C EUV: B et ENEA: C) avec une variation de la période de la multicouche du centre vers le bord allant de 4 à 2 Ǻ 2 cm juin 2006) ont été consacrées aux traitements des 10 miroirs du microscope EUV que SAGEM devait assembler fin 2005… Depuis, et toujours en EUV, un microscope similaire conçu par SESO est réalisé avec des lentilles traitées au laboratoire pour le compte de l'ENEA Italie (λ=14.4 nm). Les travaux ainsi réalisés ont mis en évidence notre capacité à traiter des éléments de plus en plus petits avec des gradients d'épaisseurs de plus en plus élevés (cf. Figure 3). Etude de l'efficacité de la réflexion des multicouches X en fonction de la structure des matériaux. Nous avons pu montrer qu'il était possible d'atteindre une de meilleures réflectivités connues parmi les équipes concurrentes en EUV à condition de bien en maîtriser la structure de l'empilement [Meltchakov E. et al.- J. Phys Condensed Matter, 18, 2006]. Implantation de multicouches pour réaliser des optiques diffractives en rayonnement X. Nous avons également étudié, en collaboration avec la société IBS, la possibilité de réaliser des optiques diffractives en rayonnements X. Nous avons montré qu'il était possible, en modulant la profondeur d'implantation, de réaliser des optiques diffractives doubles en rayonnement X [Roux L., Vidal B. et al., Brevet FR2853140 A, 2004]. motors automat motor Figure 2. Dispositif mis aux point pour les besoins des programmes Preuve et Médéa+. programme EUV s'est officiellement arrêté fin 2004 mais a été poursuivi jusqu'au premier semestre 2006 Actuellement, ces dispositifs permettent la réalisation de miroirs rentrant dans des dispositifs X (1-10 Kev) et EUV (λ =13.5 nm pour la microscopie EUV par exemple). Traitement multicouches avec gradient. Nous avons traité les optiques nécessaires à la réalisation par le LETI du BEL (Banc d'Essai pour la Lithographie EUV). Par ailleurs, les deux dernières années (juin 2004 à 116 A A Chercheur Réalisation de supers miroirs : éléments essentiels d'un système d'imagerie des plasmas laser. Nous avons pu étudier, puis réaliser, en collaboration avec le CEA DAM et la société Winlight les miroirs nécessaires. [Champeaux J.P. et al., J. Opt. Communications, 2006]. Etude des contraintes dans les multicouches. Nous avons développé un interféromètre de Michelson pour caractériser les contraintes dans les multicouches généralement induites par leur structure. Cet appareil est complémentaire de ceux dont dispose le laboratoire Tecsen à savoir un diffractomètre X et un mesureur de la déformation de l'image d'un spot laser liée à la individuel RAPPORT D’ACTIVITE 2002 - 2006 courbure du substrat (après réflexion sur la multicouche). BILAN SCIENTIFIQUE 117 RAPPORT D’ACTIVITE 2002 - 2006 Faits marquants • Croissance de films de Ni2Si contrôlée par l’interface • Rôle-clé de la pesanteur dans la transition colonnaireéquiaxe • Assemblages supra-moléculaires de phtalocyanines halogénées par ponts hydrogène • Transistors à nanocristaux Ge • Détermination directe de déformations locales par diffraction X cohérente • Etude relativistique de l’influence des conditions d’acquisition du MET sur le spectre ELNES d’un système uniaxial • Anisotropie magnétique du composé Zn1-xOCox, un semiconducteur magnétique pour la spintronique • Pseudogap dans les cuprates dopés aux électrons • Transport quantique dans les nanotransistors – Approche NEGF • Vers l’intégration de condensateurs 3D dans les cellules mémoires FeRAM • Système de communication faible coût CMOS à 2,45 GHz • Bicouches thermochromes VO2-CeO2 pour l’optoélectronique infrarouge • Cartographies de vitesses de recombinaisons de surface des porteurs minoritaires dans le silicium 117 RAPPORT D’ACTIVITE 2002 - 2006 Croissance de films de Ni2Si contrôlée par l'interface Mangelinck D., Nemouchi F., Gas P., Bergman C. Les techniques "in situ et en temps réel" sont primordiales pour caractériser les réactions en films minces. L’analyse calorimétrique différentielle (DSC) est une technique puissante pour étudier la thermodynamique et la cinétique de réactions mais elle nécessite une quantité de matière couramment disponible dans les matériaux massifs ou avec des multicouches auto-supportées. Nous avons développé un mode de préparation pour analyser par DSC des films minces sur substrat et pu ainsi montrer que la croissance de Ni2Si pouvait être contrôlée principalement par la réaction interfaciale. La figure 1 représente les spectres obtenus par DSC pour un film de 50 nm de Ni sur substrat de Si recuit avec différentes rampes de température. L’obtention de spectres d’analyse calorimétrique différentielle pour des films nanométriques sur substrat constitue un résultat original. A partir de kR et du coefficient de diffusion kD , on peut calculer, en fonction de la température, l'épaisseur de transition entre le régime principalement contrôlé par la diffusion et celui principalement contrôlé par l’interface: Lt = 0 kD 0 kR ⎛ − (ED − ER ) ⎞ ⎟ exp⎜⎜ ⎟ k B Tt ⎝ ⎠ La figure 2 représente la température de transition en fonction de l'épaisseur. Il faut noter que la croissance est toujours contrôlée par les deux phénomènes (diffusion et réaction) mais l’importance de l’un par rapport à l’autre augmente au fur et à mesure que l'on s'éloigne de cette courbe. La variation de l'épaisseur en fonction de la température est aussi reportée pour deux des expériences de DSC (10 et 100 K/min) et deux des recuits isothermes en DRX (210 et 260°C). On voit que les recuits isothermes sont situés dans le domaine principalement contrôlé par la diffusion alors que les expériences DSC sont dans le domaine contrôlé par la réaction. D'une manière générale, le contrôle par réaction interfaciale est prédominant aux faibles épaisseurs et hautes températures. C'est justement le domaine privilégié en microélectronique où l'épaisseur des films décroît continuellement et où les traitements thermiques des process industriels utilisent des rampes importantes (recuit flash). Figure 1. Thermogrammes obtenus par analyse calorimétrique différentielle pour un film de 50 nm de Ni sur substrat de Si recuit avec différentes rampes de température La simulation de ces spectres montre que la croissance de Ni2Si (pic le plus intense) est principalement contrôlée par la réaction d'interface lors des recuits isochrones alors que les recuits isothermes (DRX) donnent une cinétique quasiment parabolique caractéristique d'une croissance contrôlée par la diffusion. Un accord peut être obtenu entre les deux expériences si la loi linéaire–parabolique est appliquée. Nous avons pu ainsi déterminer le coefficient de réaction interfaciale: kR=0,25 exp[-0.8/kBT] cm/s. BILAN Figure 2. Epaisseur de transition entre régime contrôlé par diffusion et contrôlé par réaction interfaciale (trait gras). Variation de l'épaisseur en fonction de la température pour deux expériences de DSC (10 et 100 K/min) et deux recuits isothermes en DRX (210 et 260°C). Référence : [1] F. Nemouchi, D. Mangelinck, C. Bergman, P. Gas, Appl. Phys. Lett. 86, 041903, 2005. SCIENTIFIQUE 119 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Rôle-clé de la pesanteur dans la transition colonnaire - équiaxe Mangelinck-Noël N., Nguyen-Thi H., Bergeon N., Billia B., Reinhart G., Jung H., Weiss C. en collaboration avec Gastaldi J. (CRMCN), Schenk T. (LPM, Nancy), Baruchel J., Härtwig J. (ESRF) Figure 1. Sédimentation de grains équiaxes au cours de la CET induite par un saut à t0 de la vitesse de tirage de 1,5 à 15 µm/s. Al-3,5 % pds Ni affiné (addition de particules de TiB2). G = 30 K/cm. Radiographie X synchrotron, les dendrites/grains d’aluminium apparaissent en gris clair et l’eutectique en sombre. Transition colonnaire – équiaxe [1] Le contrôle de la transition colonnaire – équiaxe (CET) est une nécessité majeure dans les procédés d’élaboration de matériaux par solidification d’alliages (alliages légers, aciers, superalliages …). En effet, une microstructure colonnaire du solide correspond à des propriétés d’usage anisotropes (aubes de turbine) alors qu’une microstructure équiaxe correspond à un comportement macroscopique isotrope (blocs moteurs). La pesanteur affecte la CET au-delà de la convection naturelle. Sédimentation des grains équiaxes Le premier effet direct de la pesanteur est la sédimentation des particules affinantes, des fragments détachés de dendrites et des cristaux équiaxes. L’observation in situ en temps réel révèle la dynamique des phénomènes, inaccessible post mortem. La radiographie X synchrotron montre notamment l’entassement des grains équiaxes (Fig. 1). Sur un système transparent, l’observation montre que l’orientation de la croissance par rapport à la gravité est critique pour l’ensemencement du bain fondu (Fig. 2). 1 mm Figure 2. Fragments de dendrites ensemençant le bain fondu en grains équiaxes par croissance pendant leur sédimentation. Succinonitrile – 5 % pds eau. Solidification par refroidissement dirigé “power down”. Observation directe. Flexion de bras dendritiques La pesanteur induit aussi des déformations mécaniques de la microstructure colonnaire [2], comme la flexion de branches dendritiques. Celle-ci peut être précipitée par la sédimentation de grains équiaxes (Fig. 3). Références : [1] Reinhart G. et al., Mater. Sci. Eng. A, 413-414, 384, 2005 [2] Billia B. et al., Phys. Rev. Lett., 93, 126105, 2004 120 FAITS Figure 3. Flexion d’un bras secondaire de dendrite due à la sédimentation des grains équiaxes 1 et 2 sur sa pointe. Al-3,5 % pds Ni affiné. V = 4 µm/s, G = 23 K/cm. Radiographie X synchrotron. MARQUANTS RAPPORT D’ACTIVITE 2002 - 2006 Assemblages supra-moléculaires de phtalocyanines halogénées par ponts hydrogène Abel M., Bliek A., Catalin D., Koudia M., Maurel C., Mossoyan J-C., Mossoyan-Déneux M., Oison V., Porte L. La formation de ponts hydrogène gère l’auto-assemblage des molécules de phtalocyanines halogénées Le dépôt à température ambiante de molécules de ZnPcCl8 sur une surface Ag(111) forme des structures d’auto-assemblage originales gérées par la formation séquentielle de liaisons hydrogène entre les atomes de chlore et d’hydrogène situés en périphérie de la molécule de phtalocyanine. Les molécules arrivant sur la surface commencent par s’associer et former la phase P1 où seules interviennent les forces de van der Walls entre atomes de chlore. Puis cette phase se déconstruit pour former une deuxième phase P2 dont la cohésion est due principalement à la formation de 4 ponts hydrogène entre molécules voisines (traits rouges sur le modèle de P2). Puis de nouveau l’assemblage se déconstruit au profit d’une troisième phase où chaque molécule a engagé ses 8 chlore avec les 8 atomes d’hydrogène de la molécule voisine et toutes les possibilités de liaisons hydrogène ont été utilisées. La structure P3 est stable et n’évolue plus. Figure 1. Formation séquentielle (de haut en bas) de Une couche contrainte moléculaire sous Cependant l’image de la phase P3 (figure 2) montre également l’apparition régulière de lignes de défauts, lignes de glissement où la Figure 2. Transformation de phase P2-P3 dans les réseaux supra-moléculaires de ZnPcCl8 structure locale est de type P2. Lorsque la même expérience est reprise avec la molécule ZnPcF8, où le fluor remplace le chlore, la structure P3 s’obtient sans défaut ; ce que l’on explique par une maille d’équilibre, calculée en DFT, plus petite. Les fautes d’empilement se comprennent alors comme la relaxation d’une contrainte dans la couche moléculaire due au désaccord entre la maille moléculaire et la maille du substrat. Les phénomènes de contrainte dans les dépôts moléculaires sont fort mal connus, mais sont certainement à considérer avec attention dans les structures auto-assemblées. Le rôle primordial de la phase gazeuse Le passage d’une phase à une autre se fait par l’intermédiaire d’une phase gazeuse (notée GP sur la figure 2). L’étude cinétique a en effet montré que l’interface entre P2 et P3 était très peu mobile et que la croissance de P3 au détriment de P2 se faisait par des molécules venant de la phase gaz. La croissance de la phase P3 se fait essentiellement selon la direction 2. Cette étude montre que les phénomènes de transformation de phase dans les composés organiques sont très complexes et que leur étude est importante pour contrôler la croissance de matériaux organiques. 3 phases lors des dépôts de ZnPcCl8 sur Ag(111) à droite : image STM, à gauche : modèle BILAN Références : [1] Abel et al., ChemPhysChem., 7, 82, 2006 [2] Koudia et al., J. Chem. Phys. B, 110, 10058, 2006 [3] Oison V. et al., Phys. Rev. B, soumis, 2006 SCIENTIFIQUE 121 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Transistors à nanocristaux Ge Berbezier I., Karmous A., Szkutnik P., Bassani F., Ronda A. en collaboration avec les partenaires du contrat FORUM FIB Figure 1. Les principales étapes du procédé sont : 1) Fabrication de l’oxyde sacrificiel sur des aires de 10x10 µm2; 2) Nano-gravure FIB des zones; 3) Restauration par recuit / nettoyage chimique, suppression du masque d’oxyde et formation d’un oxyde tunnel; 4) Formation des NC de Ge (/ Si) par recuit de la couche amorphe ; 5) Fabrication de l’oxyde de grille et 6) des contacts métalliques. Nous avons étudié en détail les mécanismes de formation et d’auto-assemblage des NC de Si et de Ge sur un substrat de Si(001) et sur une couche d’oxyde thermique SiO2/Si(001); la formation des NC est induite par une combinaison de cristallisation / démouillage de la couche amorphe de Si ou de Ge sur SiO2 pendant le recuit. Les paramètres expérimentaux de recuit n’interviennent pas sur la densité ni sur la taille des nanocristaux 1E-6 Drain-Source Current [A] Nous avons développé un procédé d’autoorganisation de nanocristaux (NC) de Si et / ou de Ge sur des couches tunnel d’oxyde thermique de Si afin de fabriquer des transistors MOS à nanocristaux. Les densités des NC obtenues sont supérieures à 1011/cm2 (taille moyenne des NC ~ 15 nm). Une schématisation du procédé et de ses principales étapes est présentée dans la figure 1. 1E-7 L=1um W=100um fresh 8V,1s -8V,1s fresh 1E-8 -8V,1s 1E-9 8V,1s 1E-10 1E-11 1.0 1.5 2.0 2.5 3.0 3.5 Gate-Source Bias [V] Figure 3. Courbe I-V d’un transistor MOSFET fabriqué à partir des structures réalisées. obtenus. Quant à l’auto-organisation, nous avons démontré qu’elle était liée à une diminution de l’énergie de surface quand les NC se forment dans les gravures du substrat. Les résultats des nanogravures avant et après formation des NC de Ge sont présentés dans la figure 2a et 2b respectivement. Une image TEM en coupe transverse d’un NC déposé sur une couche d’oxyde non structurée est présentée figure 2c. A partir des structures auto-assemblées réalisées, nous avons fabriqué une mémoire à NC. Un résultat typique de chargement / déchargement de la mémoire est présenté figure 3. Nous observons qu’en appliquant un pulse de 8 V ; durée 1 s à la grille, un déplacement de 0,15 V vers les hautes tensions est obtenu, indicatif du chargement par les électrons injectés dans les NC. En appliquant un pulse négatif de la même amplitude, même durée, la mémoire retrouve son état initial. Ces résultats montrent un très bon fonctionnement de la mémoire bien que la fenêtre de la mémoire ne soit pas très large. Figure 2. (a) et (b) images AFM de NC de Ge obtenus par SPE (densité ~ 1011/cm2) sur une couche non gravée et sur une couche gravée respectivement; (c) Image TEM en coupe transverse d’un NC déposé sur la couche d’oxyde d’une épaisseur de 2,5 nm. b a 122 FAITS c MARQUANTS RAPPORT D’ACTIVITE 2002 - 2006 Détermination directe de déformations locales par diffraction X cohérente Chamard V., Gailhanou M., Labat S., Minkevich A., Thomas O. en collaboration avec Livet F. (LTPCM), Baldinozzi G. (ECP), Dollé M. (CEA), Metzger T. (ESRF), Charlet B. (Léti), Micha J.S. (ESRF) Le développement récent des sources synchrotrons ouvre la voie à de nouvelles possibilités d’imagerie, basées sur la restitution de la phase habituellement inaccessible en diffraction X. Ainsi, imager directement sans modèle de départ, avec une résolution de l’ordre du nm, la densité et les déformations locales dans un cristal nanométrique, devient possible. Restituer la phase pour sonder un nanocristal Les propriétés physiques des nano-objets sont fortement affectées par leur structure. Afin de rendre compte de ces modifications et de prévoir leurs comportements, une analyse structurale fine est désirée. En raison de son caractère non destructif et de sa grande sensibilité aux positions atomiques, la diffraction X est un outil privilégié pour sonder les déformations au cœur des cristaux. Mais l’intensité diffractée et non le champ – étant accessible, les différentes approches sont nécessairement basées sur l’utilisation de modèles de départ et ne rendent compte que des propriétés moyennes d’une assemblée de nano-objets. Pourtant, dès 1952 il a été montré qu’une solution au problème de la phase peut être trouvée, à condition que le cliché de diffraction soit sur-échantillonné. La phase est alors reconstruite à partir d’algorithmes itératifs et la forme 3D de l’objet isolé est reconstruite. Ce n’est que récemment que cette méthode a pu être appliquée aux rayons X, en utilisant un faisceau de longueurs de cohérence supérieures aux dimensions de l’objet. Ces faisceaux sont produits par des synchrotrons de 3ème génération. En modifiant l’algorithme [1,2], nous avons maintenant adapté la méthode à l’imagerie des déformations locales dans les cristaux, en plus de celle de la densité. inversés : la densité électronique et le champ de déplacement sont reconstruits avec une résolution de 10 nm, et sont en accord avec les calculs obtenus par élément finis (Figure 2). Ces premiers travaux, aux perspectives nombreuses, présentent également un intérêt pour la microélectronique (coll. Atmel). [111] (a) 50 nm (c) (b) 50 nm (d) Figure 1. (a) Cliché de diffraction X mesuré autour de la réflexion 111 d’un nanocristal de ZrC isolé. (b) Reconstruction de l’intensité obtenue à partir de l’algorithme itératif modifié. (c) Densité et (d) champs de déplacement obtenus par inversion [3]. (a) ΔxU001 = 11 Å (b) ΔxU001 = 13 Å (c) Nanocristal de ZrC L’utilisation d’un faisceau X micro-focalisé a permis de mesurer le profil de diffraction d’un seul cristal de ZrC (120 nm). Nous avons ainsi pu mettre en évidence une distribution des déformations localisées près de la surface (Figure 1). Ces résultats sont atteints avec une résolution spatiale d’environ 13 nm [3]. Lignes de Silicium sur SOI La diffraction X haute résolution sur réseaux périodiques de lignes de Si sur SOI fait apparaitre des taches de Bragg fortement déformées, résultat d’un champ de déformation très inhomogène. Ces clichés peuvent être BILAN Figure 2. (a) Densité et (b) champs de déplacements d’une ligne de Si (100 x1000 nm) obtenus par inversion du cliché de diffraction (004). (c) Déplacements calculés par éléments finis [2]. Références : [1] Labat S. et al., soumis. [2] Minkevich A. et al., en préparation. [3] http://www.esrf.fr/NewsAndEvents/Spotlight/ spotlight33nano/ et Chamard V. et al., soumis SCIENTIFIQUE 123 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Etude relativistique de l’influence des conditions d’acquisition du MET sur le spectre ELNES d’un système uniaxial Bocquet F., Bernier N., Saïkaly W., Thibault J., Charaï A. X = a (α , β )(1 + Cos 2 (δ )) + b (α , β ) Sin 2 (δ ) Y = a (α , β )( Sin 2 (δ ) + b (α , β )Cos 2 (δ ) b = γ 4α 2 max α max β max 0 0 2θ E2 +π ∫ αdα ∫ βdβ ∫π du (α − 2 + β 2 − 2αβ cos u + θ E2 / γ 2 ) 2 γ est le facteur relativiste valant 1.38 dans un MET à 200kV et est absobuement déterminant pour une interprétation fine et quantitative des spectres1,2. θΕ est l'angle caractéristique lié d'une part à l'énergie de perte au seuil K du carbone et d'autre part à la tension 124 FAITS 0.25 α/θ 0.2 a) E 0 0.5 1.5 3 7 10 R 0.15 0.1 0.05 0 0 1 2 3 4 5 6 7 Reduced collection angle β /θ α/θ 0.4 E E b) 0 0.5 1.5 3 7 10 0.3 0.2 8 0.1 où a et b sont décrits par: (α 2 + β 2 − 2αβ cos u ) 1 α max β max + π a = 2 ∫ αdα ∫ βdβ ∫ du 2 α max 0 (α + β 2 − 2αβ cos u + θ E2 / γ 2 )2 −π 0 1 d'accélération du MET. Ici θΕ ≈80 mrad. δ représente l’angle entre l'axe c du graphite et l'axe optique du MET. La figure 1 donne l’évolution du rapport normalisé R=Iπ*/(Iπ*+Iσ*) avec αmax/θΕ, βmax /θΕ, et δ des coordonnées réduites. Nous avons montré comment s'affranchir du calcul lourd des matrices Mπ, et Mσ. Nous avons démontré que la mesure d’une seule valeur du rapport Rref dans une configuration expérimentale connue est suffisante pour prédire entièrement l’évolution théorique du rapport R dans toute autre configuration. Nous vérifions également que le couple (αmax /θE =0, βmax /θE =1.5) correspond à la “condition magique” pour laquelle le rapport R est indépendant de δ, permettant ainsi de s'affranchir d'une orientation fine de l'échantillon dans le microscope. R La spectroscopie de pertes d'énergie électronique (EELS) dans un microscope électronique en transmission (MET), permet de sonder localement les propriétés chimiques et/ou électroniques des solides. Les modulations en énergie associées à un spectre de pertes de cœur (ELNES) reproduisent, d'une part, la densité d'états vides, et d'autre part, pour les matériaux anisotropes, la géométrie de l'expérience. Celle-ci est décrite par l'orientation du vecteur de diffusion par rapport à la maille cristalline et par rapport à l'axe optique du MET. Un spectre ELNES est donc lié à l'échantillon, à son orientation, mais aussi aux conditions d'acquisition au travers de α max de l'angle solide de convergence l'illumination incidente et de l'angle solide de collection β max du spectromètre. Nous avons calculé l'effet de ces paramètres sur l'allure d'un spectre dans le cas d'un échantillon suffisamment symétrique pour être modélisé par une symétrie uniaxiale. Ces calculs, tenant compte du couplage dipolaire, ont été appliqués au graphite dont le spectre ELNES, au seuil K du carbone, s'interprète comme une superposition d’intensités intégrées reliées aux transitions de l'état 1s vers les états de bandes de symétrie pz, nommée Iπ*, et de symétrie px, py, nommée Iσ*. Les intensités Iπ* et Iσ* sont décrites comme des combinaisons linéaires des caractéristiques intrinsèques du graphite (matrices de couplage entre états initiaux et finaux notées Mπ, et Mσ), pondérées par des coefficients X et Y dépendant de α max, βmax, δ (Iπ*=Y.Mπ et Iσ*=X.Mσ). X et Y sont calculés en introduisant complètement l’effet relativiste1,2 0 1 2 3 4 5 6 Reduced collection angle β /θ 7 8 E Figure 1. Evolution de R pour a) δ=0°, b) δ=90° en fonction de l’angle de collection réduit β max /θE pour différents angles de convergence réduits α max /θE Références : [1] Bocquet F. et al., Ultramicroscopy, en ligne mai 2006 [2] Le Bosset J.C., Epicier T., Jouffrey B., Ultramicroscopy, 106, 449, 2006 MARQUANTS RAPPORT D’ACTIVITE 2002 - 2006 Anisotropie magnétique du composé Zn1-xOCox, un semiconducteur magnétique pour la spintronique Sati P., Hayn R., Régnier S., Schäfer S., Stepanov A. en collaboration avec Kuzian R. (Institute for Materials Science, Ukraine), Morhain C., Deparis C., Laüt M. (CRHEA, Valbonne), Goiran M. (LNCMP, Toulouse), Golacki Z. (Institute of Physics, Poland) La spintronique, une branche émergente de la microélectronique et nanoélectronique, repose sur l'utilisation du spin de l'électron, plutôt que sa charge, et nécessite donc l’utilisation de semiconducteurs magnétiques permettant l'injection et la détection de porteurs de charge polarisés en spin. Un tel semiconducteur pourrait provenir de la famille des semiconducteurs magnétiques dilués (DMS) où les ions magnétiques sont placés en substitution des cations du semiconducteur. Parmi les composés II-VI à grand gap les plus prometteurs, l'oxyde de zinc dopé par le cobalt a fait l'objet de nombreuses études théoriques et expérimentales depuis 2001. Cependant ses propriétés magnétiques sont actuellement très discutées. Alors que les premières études théoriques basées sur l'approximation de la densité locale de spin (LSDA) ont trouvé un comportement FM et semi-métallique, de plus récents calculs (LSDA+U) ont dévoilé une compétition entre des interactions ferromagnétiques et antiferromagnétiques (AFM). D'un point de vue expérimental, de nombreux films minces de Zn1-xCoxO (x =0,01 0,25) ont été élaborés par différentes techniques de dépôt. Ces films ont montré des propriétés ferromagnétiques avec de hautes températures de Curie Tc, avec ou sans dopage en porteurs additionnels. Mais l'absence de phase FM et même la présence de corrélations AFM ont été aussi observées dans des échantillons de ZnO:Co, notamment sous forme de poudre polycristalline. Dans cette situation très controversée, nous avons proposé un critère d'identification d'une phase FM intrinsèque de ZnO dopé par Co [1]. Ce critère a été établi à partir de l'analyse des propriétés magnétiques et de résonance de films minces très dilués de Zn1-xCoxO (x =0,003) fabriquées au CRHEA. Nous avons mis en évidence une forte anisotropie magnétique de type « plan facile » pour le Co2+ en site substitutionnel dans ZnO (cf figure). Cette anisotropie ionique peut être décrite par un Hamiltonien de spin S=3/2 modélisant de Co2+ en site l'état fondamental 4A2 tétraédrique dans la structure wurtzite du ZnO. A son tour l’Hamiltonien peut être paramétrisé BILAN par deux facteurs-g :g|| et g⊥ et la constante d'anisotropie D. Nous avons mesuré ces trois paramètres par la RPE en bande X (ν = 9,4 GHz) et à très hautes fréquences (jusqu’à 1000 GHz ) en champ magnétique pulsé. Les valeurs ainsi obtenues sont: g|| = 2,236, g⊥ =2,277 et D=2,76 cm-1. Pour mettre en évidence le comportement magnétique spécifique au Zn1-xCoxO nous avons développé un modèle quantique qui prend en compte un couplage FM entre les Co2+ représenté par un échange de type Heisenberg. Comme l'illustre la figure 1, représentant la dépendance en champ appliqué de l'aimantation calculée pour de petits amas ferromagnétiques (de taille N) de spin S=3/2, il existe un champ critique au-dessous duquel l’aimantation reste très dépendante de l’orientation de champ appliqué. La valeur du champ critique et le type d’anisotropie, « plan facile », sont les paramètres qui caractérisent un ferromagnétisme intrinsèque du Zn1-xCoxO. Figure 1. Aimantation d'amas ferromagnétiques de Co2+ (N=2, 4, 6) en fonction du champ magnétique appliqué Référence : [1] P. Sati et al., Phys. Rev. Lett., 96, 017203, 2006 SCIENTIFIQUE 125 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Pseudogap dans les cuprates dopés aux électrons Daré A.-M. en collaboration avec Tremblay A.-M., Kyung B., Hankevych V. (Université de Sherbrooke, Canada) Contexte expérimental Les spectres de photo-émission des supraconducteurs à haute température critique révèlent une forte influence des corrélations entre électrons: la surface de Fermi diffère de celle donnée par la structure de bande et semble disparaître dans certaines directions. C’est le phénomène de pseudogap, qui se manifeste aussi dans les propriétés magnétiques et supraconductrices de ces composés. Selon que les cuprates sont de la famille des supraconducteurs dopés aux électrons ou aux trous, et selon la valeur du dopage, ce pseudogap n’affecte pas les mêmes régions de la zone de Brillouin. Un accord quantitatif avec ces résultats expérimentaux a été obtenu par une approche au modèle de Hubbard baptisée approximation TPSC (Two Particules Self Consistent). Modèle Dans le modèle de Hubbard, un terme cinétique de type liaison forte décrit les sauts entre orbitales de Wannier d’un site à ses premiers voisins (t), seconds voisins (t’) et audelà (t’’)… L’Hamiltonien contient également le terme local de répulsion coulombienne U entre électrons. C’est le modèle sur réseau bidimensionnel carré qui est utilisé, les électrons impliqués étant ceux des plans de CuO2. Le modèle Utt’t’’ n’a pas de solution exacte en 2D. Il est donc nécessaire de recourir à des approches approximatives qui en capturent les propriétés essentielles. Une approximation autocohérente à deux particules (TPSC), développée au sein de l’équipe du Pr. A.-M. Tremblay de l’Université de Sherbrooke a été validée par comparaison aux résultats de simulations Monte Carlo. La TPSC est adéquate dans la gamme de couplage faible à modéré (U est au plus égal au 3/4 de la largeur de bande), ce qui semble être le cas dans les composés dopés aux électrons, en particulier pour les composés à dopage optimal pour lesquels la température de transition supraconductrice est la plus élevée. Comparaison aux expériences • Photoémission : en étudiant le poids spectral, la présence de la surface de Fermi en bord de zone de Brillouin et sa disparition à proximité de (π/2, π/2) observées en ARPES pour les dopages optimaux ont été reproduites. L’origine de ce pseudo-gap fait débat. Nous avons montré que deux scenarii se relaient: ce sont 126 FAITS les corrélations à courte portée et la physique de Mott qui en sont l’origine pour les composés à faible dopage, alors que les corrélations antiferromagnétiques à longue portée en sont la cause pour les dopages optimaux. • Diffusion de neutron : cette technique donne accès à la température de transition et à la longueur de corrélation antiferromagnétiques. Pour les paramètres retenus dans le calcul du poids spectral, ces grandeurs ont été retrouvées quantitativement. • Diagramme de phase : en plus de donner accès à la température de Néel, la TPSC permet d’évaluer la température à laquelle se ferme le pseudogap, ainsi que la température critique de transition supraconductrice de type d. Prédictions et validations Pour la première fois un scénario global est proposé pour ces composés dopés aux électrons. De plus certaines prédictions ont tout récemment été confirmées expérimentalement. Références : [1] Kyung B., Hankevych V., Daré A.-M., Tremblay A.-M., Phys. Rev. Lett., 93, 147004, 2004. [2] Hankevych V., Kyung B., Daré A.M., Sénéchal D., Tremblay A.-M., J. Phys. Chem. Sol., 67, 189, 2006. MARQUANTS RAPPORT D’ACTIVITE 2002 - 2006 Transport quantique dans les nanotransistors – Approche NEGF Cavassilas N., Lannoo M., Munteanu D., Michelini F., Autran J.L., thèses de Bescond M., Nehari K. en collaboration avec Delerue C. (IEMN) 10 ID (A) L’équipe « Dispositifs Ultimes sur Silicium » développe, depuis sa création en 2001, un savoir-faire dans la modélisation et la simulation du transport quantique dans les nanotransistors à l’aide du formalisme des fonctions de Green hors-équilibre (NEGF). Ce travail continu sur les cinq dernières années se traduit aujourd’hui par la constitution d’une bibliothèque de codes de calcul performants dédiés à la simulation du transport balistique dans la plupart des architectures innovantes sur Silicium : transistors double-grille, transistors multi-grilles (TriGate, FinFET, GAA,…), transistors à nanofil de Silicium (figure 1). Très schématiquement, ces codes de calcul réalisent une résolution auto-consistante des équations de Poisson et de Schrödinger, en assurant des conditions aux limites ouvertes sur les contacts pour assurer le passage d’un flux d’électrons, c’est-à-dire l’existence d’un courant électrique traversant la région active du dispositif. Une implémentation graduelle des outils de calcul permet dorénavant de disposer d’une résolution 1D, 2D ou 3D du problème électrostatique (Poisson), d’une prise en compte 1D ou 2D du confinement quantique (Schrödinger) dans la ou les directions transverses au transport et enfin d’un calcul 1D (approche « mode space », cf. Fig. 2) ou 2D (approche « real space », cf. Figs. 3 et 4) du courant quantique. L’implémentation d’un calcul auto-consistent totalement 3D pour l’équation de Schrödinger en système ouvert reste l’objectif prioritaire dans la suite des travaux de l’équipe, tout comme le développement de codes parallèles utilisant le cluster de calcul L2MP/IRPHE. -5 10 -6 10 -7 10 -8 0.0 LGATE=6 nm Total current Tunneling current Thermionic current 0.2 0.4 0.6 VG (V) 0.8 Figure 2. Caractéristique en courant (balistique) d’un nano-transistor double-grille de 6nm de longueur de canal calculée grâce au code Poisson(3D)-Green(1D). [M. Bescond et al. IEDM 2004]. VG VD VG Figure 3. Densité de charge 2D dans un « crenel MOSFET » (brevet L2MP/Cavassilas) calculée grâce à une approche « real space » Poisson2D-Green-2D. [N. Cavassilas et al., APL 2005]. Figure 4. Barrière de potentiel 2D, densité d’états totale (DOS) et densité de charge 2D dans un transistor DG à grilles indépendantes (L=10nm). Approche « real space » Poisson(2D)-Green(2D). [D. Munteanu. Projet ANR Multigrilles – non publié]. Références : Figure 1. Vue en coupe de diifférentes architectures multi-grilles de nanotransistors considérées pour la simulation NEGF [Bescond et al. IEDM 2004]. BILAN [1] Delerue C., Lannoo M., Nanostructures: Theory and Modelling, Springer 2004. [2] Bescond M. et al., IEDM Tech. Dig. 2004 et 2005, Solid-State Electron. 2004, J. Comput. Electron. 2005 [3] Munteanu D. et al., Solid-State Electron., 2003 [4] Cavassilas N. et al., Appl. Phys. Lett., 2005 SCIENTIFIQUE 127 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Vers l'intégration de condensateurs 3D dans les cellules mémoires FeRAM Menou N., Turquat C., Madigou V., Muller C. en collaboration avec Goux L., Lisoni J., Wouters D. (IMEC) Dans la technologie des mémoires FeRAM, la réduction de taille des transistors MOS impose de nouvelles géométries de condensateurs présentant une plus grande charge surfacique et une plus forte densité d'intégration. Ainsi, en remplacement des condensateurs planaires (2D) conventionnels, plusieurs groupes se sont orientés vers l'intégration de condensateurs à trois dimensions (3D), soit de type "trench" (cf. mémoires DRAM), soit de type "bouton". CONDENSATEURS 3D : UNE AVANCEE TECHNOLOGIQUE DE PREMIER PLAN Projet IST "FerroeLectric for EURope" Dans le projet FLEUR, STMicroelectronics et l'IMEC ont développé des condensateurs 3D à base de SBT, i.e. SrBi2Ta2O9 [1]. Dans cette géométrie "bouton", le gain de polarisation est lié à la contribution supplémentaire des bords de condensateurs (zone 2, Figure 1). Après intégration, il s'est avéré que l'augmentation de polarisation était plus faible que celle attendue en considérant le rapport de surface 2D/3D et d'importants courants de fuite sont apparus. Figure 2. Microstructures de condensateurs 3D pour deux températures de dépôt MOCVD différentes. La complémentarité des approches a permis de corréler la ségrégation du bismuth sur les bords de condensateurs (Figure 1), à la température du dépôt MOCVD du film de SBT, à la perte de polarisation et aux performances en cyclage [2]. L'augmentation de la température du dépôt MOCVD (de 405 à 440°C) conduit à une dégradation des interfaces, à la formation d'excroissances (Figure 2) et à une accentuation de la ségrégation du Bi expliquant l'augmentation des courants de fuite [3]. Toutefois, des analyses par µfluorescence X ont démontré la bonne maîtrise des étapes d'intégration. La composition de la couche ferroélectrique ne présente pas de variation d'un condensateur à l'autre, ce qui favorise l'uniformité de la réponse électrique [4]. En revanche, la µdiffraction X a montré que l'orientation cristallographique de la couche de SBT n'était que partiellement maîtrisée, ceci s'expliquant par la complexité de l'électrode inférieure et sa topologie particulière. Références : Figure 1. Cross section TEM d'un condensateur 3D et analyses chimiques locales effectuées par EDS. Origine des défaillances Afin de trouver l'origine de cette plus faible polarisation et des défaillances liées à cette géométrie, le groupe "Mémoires à Matériaux Avancés" a développé des tests de fiabilité associés à des analyses microstructurales (diffraction, µdiffraction et µfluorescence X à l'ESRF ; analyses couplées EDS/TEM). 128 FAITS [1] Goux L., Russo G., Menou N., Lisoni J., Schwitters M., Paraschiv V., Maes D., Artoni C., Corallo G., Haspeslagh L., Wouters D., Zambrano R., Muller C., IEEE Trans. Electron Dev., 52(4), 447, 2005 [2] Menou N., Turquat C., Madigou V., Muller C., Goux L., Lisoni J., Schwitters M., Wouters D., Appl. Phys. Lett., 87(7), 073502, 2005 [3] Goux L., Lisoni J., Schwitters M., Paraschiv V., Maes D., Haspeslagh L., Wouters D., Menou N., Turquat C., Madigou V., Muller C., Zambrano R., J. Appl. Phys., 98(5), 054507, 2005 [4] Menou N., Muller C., Goux L., Barrett R., Lisoni J.G., Schwitters M., Wouters D.J., J. Appl. Cryst., 39(3), 376, 2006 MARQUANTS RAPPORT D’ACTIVITE 2002 - 2006 Système de communication faible coût CMOS à 2,45 GHz Barthélemy H., Bourdel S., Cheynet de Beaupré V., Courmontagne P., Dehaese N., Egels G., Fraschini C., Gaubert J., Meillère S., Pannier P., Rahajandraibe W., Zaid L. en collaboration avec Bas G., Durant B., Chalopin H., Dreux P. (STM), Jauffret C. (USTV) Ce projet a permis, entre 2003 et 2005, de réunir un nombre important d’acteurs, i.e. 5 ingénieurs en conception de circuits VLSI CMOS de chez STMicroelectronics, 8 enseignants chercheurs d’universités ou d’écoles d’ingénieurs, 1 ingénieur de recherche et 4 étudiants en thèse. L’objectif du projet a été de réaliser un SoC (System on Chip) de communication RF innovant faible coût, faible consommation et faible surface silicium occupée [1] en technologie CMOS submicronique. Le système prototype complet est représenté sur la Figure 1. nous a permis de réduire la consommation de puissance et la surface silicium occupée [1]. Le Tableau 1 résume la surface silicium occupée ainsi que la consommation des principaux éléments de la partie analogique du système. Tableau 1. Surface occupée et consommation de la partie analogique du système Concernant la partie numérique, un protocole de communication optimisant le compromis entre taux d’erreur bits et débit a été développé en ayant recours à des techniques à base notamment d’étalement de spectre. Afin de s’affranchir de communications ‘RF parasite’ à 2.45 GHz pouvant altérer la réception des messages envoyés par notre système, des solutions nouvelles basées sur la théorie des signaux stochastiques ont été proposées. Tête RF BF PLL Timer DSP Figure 1. Soc 2.45GHz faible coût, L2MP-STM-2005 (12 brevets déposés) Pour cette application, nous avons réalisé un système intégré de communication RF proche de la norme 802.15.4 dont la fréquence porteuse est de 2.45GHz. Ce projet présente un caractère novateur à travers le haut niveau d’intégration visé (Analogique HF et BF, CPU et périphériques intégrés sur la même puce), le faible coût de fabrication exigé (technologie CMOS standard 0.28μm avec un minimum d’éléments externes), ainsi que la faible consommation de puissance requise pour les applications envisagées. Ces contraintes fortes ont nécessité la mise en œuvre de solutions innovantes dans divers domaines. Une architecture sans fréquence intermédiaire et sans convertisseur analogique numérique utilisant un démodulateur de type ZCD [2] BILAN Du point de vue des fonctions analogiques hautes fréquences, des solutions innovantes ont été développées autour du VCO (oscillateur contrôlé en tension) et du LNA (amplificateur faible bruit) de l’émetteur récepteur. On peut citer un VCO à haut niveau d’intégration et à faible consommation présentant un faible bruit de phase et une plage de commande importante [3], ainsi qu’une méthode de conception de LNA CMOS totalement intégrés [4]. La fonctionnalité des principaux blocs hautes fréquences a été vérifiée par des mesures sous pointes. Références : [1] Bourdel S. et al, Annals of Telecom., 59, 2004 [2] Dehaese N. et al., IEEE RWS, 2006 [3] Cheynet de Beaupré V. et al., AICSP, Springer, 2006 [4] Egels M. et al., Electronics Letters, 41, 2005 SCIENTIFIQUE 129 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Bicouches thermochromes VO2-CeO2 pour l’optoélectronique infrarouge. Saitzek S., Guinneton F., Villain S., Aguir K., Leroux C., Gavarri J.R., Sauques L. L’intérêt des couches minces de VO2 réside dans la variation de leurs propriétés optiques, en transmittance, réflectance ou émissivité, de part et d’autre de la température de transition électronique Tc. Cependant, VO2 est un oxyde instable. Afin de le protéger, nous avons réalisé des dépôts de CeO2 sur des couches de VO2 déposées sur un substrat de silice. Les dépôts ont été réalisés par pulvérisation cathodique magnétron. Le dioxyde de cérium (CeO2) a un triple rôle: assurer une protection chimique de VO2, filtrer les rayonnements UV, et être transparent dans l’IR, où s’exerce la transition [3]. couches Nous avons d’abord démontré [1,2] que les systèmes « couches minces de VO2 -substrats de SiO2 » présentaient des contrastes optiques maximaux, pour des longueurs d’onde infrarouge, et pour des épaisseurs d’environ 120 nm. Une épaisseur de 40 nm pour la couche de CeO2 semblerait offrir un excellent compromis entre une protection chimique suffisante et un contraste optique satisfaisant, pour des longueurs d’onde supérieures à 10 µm. La figure ci-dessous représente l’évolution des contrastes optiques, en réflectance ΔR (e, λ), en fonction de l’épaisseur e de CeO2, et pour diverses longueurs d’onde λ de rayonnement incident. On notera la transparence des couches CeO2 pour les grandes longueurs d’onde, et l’atténuation aux faibles longueurs d’onde. 130 20 40 60 80 100 120 140 160 6 8 10 12 14 16 54 52 50 48 46 44 42 40 5 µm 10 µm 15 µm 20 µm 38 36 34 Intérêt des doubles couches Optimisation des doubles thermochromes CeO2-VO2 Epaisseur de la couche de CeO2 (nm) 0 Variation de la réflectance Δ R λ (%) La phase thermochrome du dioxyde de vanadium VO2 présente un large éventail d’applications potentielles en optoélectronique du fait de sa transition isolant - métal à Tc = 68°C. Très récemment VO2 a été introduit dans des multicapteurs bolométriques non refroidis, pour l’imagerie infrarouge. Nos travaux antérieurs sur les propriétés optiques de couches minces de VO2 ont déjà fait état du rôle joué par le substrat et la nature des défauts de surface, sur la qualité du contraste optique lié à la transition électronique. Nous présentons ici les premières réalisations et caractérisations optiques de bicouches thermochromes. FAITS 32 0 2 4 Temps de dépôt (min) Bicouches durables Les épaisseurs de VO2 et CeO2 peuvent être adaptées au substrat utilisé, afin de préserver un excellent contraste optique, notamment en émissivité. Les bicouches CeO2-VO2/SiO2 présentent donc des propriétés optiques modulables : selon l’épaisseur de dioxyde de cérium, il est ainsi possible d’atténuer les rayonnements énergétiques, tout en préservant des contrastes optiques significatifs. Les températures apparentes de surface peuvent être modulées. Les bicouches soumises à un vieillissement accéléré en atmosphère humide restent intactes, alors que les couches de VO2 non revêtues se transforment chimiquement (hydratation, croissance de cristallites, …). Ces systèmes multicouches peuvent être intégrés dans des applications diverses : thermistance, régulation thermique, multicapteurs bolométriques dont les durées de vie seront largement améliorées. …. Références : [1] Guinneton F., Sauques L., Valmalette J.C., Cros F., Gavarri J.R., J. Phy. Chem. Sol., 66, 2005 [2] Saitzek S., Guirleo G., Guinneton F., Sauques L., Villain S., Aguir K., Leroux C., Gavarri J.R., Thin Solid Films, Vol 449, 166, 2004 [3] Chmielowska M., Kopia A., Leroux C., Saitzek S., Kusinski J., Gavarri J.R., Mat. Sc. Eng., 99, 235, 2004 MARQUANTS RAPPORT C D’ACTIVITE 2002 - 2006 Cartographies de vitesses de recombinaisons de surface des porteurs minoritaires dans le Silicium Palais O., Pasquinelli M. Les performances des composants de la conversion photovoltaïque comme ceux de la microélectronique sont très étroitement liées à la qualité « électronique » du silicium, qui est le matériau de base utilisé dans ces domaines. Cette notion de qualité peut être traduite en terme de durée de vie volumique (τb) des porteurs minoritaires. Si de nombreuses techniques ont été proposées pour accéder à cette grandeur, toutes sont pénalisées par les recombinaisons de surface qui faussent en tout ou partie les mesures de τb. Une part conséquente de nos précédents travaux a pour cette raison été consacrée à l’étude et au développement d’une nouvelle technique de mesure offrant la possibilité d’accéder indépendamment à τb et aux vitesses de recombinaisons superficielles (S). LA TECHNIQUE DU MICRO-ONDE (µW-PS) dislocations et celui contenant des joints de grains. Figure 1. Cartographie de τb dans du mc-Si Polix™ DEPHASAGE La technique du déphasage micro-onde, que nous avons développée à partir de la théorie initialement présentée par Orgeret [1], permet grâce au mode de génération des paires de porteurs en excès de déterminer à la fois la durée de vie volumique réelle et les vitesses de recombinaisons superficielles. Cette génération est assurée par une source lumineuse faiblement modulée sinusoïdalement à une fréquence F autour d’un niveau d’éclairement moyen. En faisant varier la fréquence F, typiquement entre 1kHz et 100kHz, nous pouvons mesurer précisément le couple (τb,S) caractéristique de la plaque de Si étudiée. En outre, un intérêt majeur de cette technique est d’être sans contact, en raison de l’utilisation de micro-ondes pour mesurer les changements de résistivité de la plaque étudiée lorsqu’un excès de porteurs est généré. Toute la théorie et la méthodologie du µW-PS ont fait l’objet de nombreux articles [2] et communications. Cartographies de (τb,S) La technique µW-PS permet également d’établir sans contact des cartographies du couple (τb,S) rendant possible une étude locale de l’activité recombinante du matériau en volume et en surface. De ce fait, nous sommes en mesure d’étudier tous les types d’interactions impuretés-défauts. Un exemple est donné aux figures 1 et 2 montrant respectivement des cartographies de τb et de S dans un échantillon de silicium multicristallin (mc-Si) de type Polix™ dans lequel on peut observer l’impact des zones à fortes concentrations de BILAN Figure 2. Cartographie de S dans du mc-Si Polix™ Mesures globales en lignes de (τb,S) Si les cartographies sont d’un intérêt capital en recherche pour comprendre certains phénomènes locaux, elles sont trop chères en temps pour être utilisées dans une chaîne de production. Or un contrôle systématique de τb et de S sans préparation des échantillons peut avertir d’éventuelles dégradations du matériau, provenant par exemple d’une contamination accidentelle du matériau ou de sa surface. A cette fin, nous avons développé un système d’éclairement global d’une plaque de semiconducteur pour permettre une mesure quasiinstantanée de (τb,S), pouvant être réalisée en ligne de production. Ce dispositif, baptisé LS µW-PS (pour Large Scale µW-PS) a fait l’objet d’un dépôt de brevet en février 2006 [3]. Références : [1] Orgeret M. et Boucher J., Revue de Physique Appliquée, 13, 29, janvier 1978 [2] Palais O., Arcari A., J. Appl. Phys., 93, 8, 4686, 2003 [3] Palais O., Pasquinelli M., Brevet n° 06 50388 (UPCAM/AVIR), 6 février 2006 SCIENTIFIQUE 131 RAPPORT D’ACTIVITE 2002 - 2006 Développement de plates-formes • Plate-forme ASTEP • Expérience ROSETTA • CIM-PACA : plafe-forme Caractérisation • CIM-PACA : plate-forme Conception, projet SSCO • CIM-PACA : plate-forme MicroPacks, projets L2MP • Plate-forme de Caractérisation InteruniversitaireCNRS Marseille Nord 133 RAPPORT D’ACTIVITE 2002 - 2006 ASTEP : une plate-forme européenne pour tester la vulnérabilité des composants microélectroniques dans l’environnement radiatif terrestre Figure 1. Vue générale de la plate-forme ASTEP hébergée sur le Plateau du Pic de Bure. 3000 95% confidence interval Lower limit Upper limit 377 FIT/Mb 617 FIT/Mb 2500 SER (FIT/Mb) ASTEP (www.l2mp.fr/astep), la toute première plate-forme européenne de caractérisation radiative en altitude, a été officiellement inaugurée le 5 juillet 2006 dans les locaux de l’Observatoire du plateau du Pic de Bure (massif du Dévoluy, Hautes Alpes), à 2552 mètres d’altitude. Le but de cette plate-forme laboratoire, destinée à accueillir dans les années qui viennent plusieurs expériences internationales, est de déterminer avec une grande précision la vulnérabilité (taux et mécanismes d’erreur) de circuits microélectroniques de haute complexité (mémoires SRAM, composants FPGA) dans l’environnement radiatif naturel terrestre (problématique des neutrons atmosphériques). 1 Développée conjointement par le L2MP et STMicroelectronics (Crolles) depuis 2004, ASTEP devrait permettre d’accéder à des données expérimentales cruciales pour la mise au point de codes de calcul prédictifs du taux d’erreur des circuits au niveau terrestre, autre volet du programme ASTEP mené également dans l’équipe Dispositifs Ultimes sur Silicium du L2MP. Dans la configuration actuelle de l’expérience, le banc de test, développé en collaboration avec la société Bertin Technologies (Aix-enProvence) et entièrement téléopéré depuis Marseille, embarque 1280 circuits mémoires SRAM de 4 Mbits conçus et fabriqués par STMicroelectronics. Au total, l’état logique de près de 5 milliards de cellules-mémoires est surveillé en permanence. Depuis début 2006, ASTEP héberge un deuxième banc de test, développé par la société XILINX, dans le cadre de l’expérience Rosetta de caractérisation radiative de composants FPGA (cf. rubrique « faits marquants »). 2000 1500 1000 500 Average 480 FIT/Mb 0 0 500 1000 1500 1 ASTEP a bénéficié du support financier de la Commission Européenne (fonds FEDER), du Conseil Général des Hautes Alpes, du Conseil Régional Provence Alpes Côte d'Azur, de la Commune de Saint Etienne en Dévoluy, du CNRS, de l'Institut universitaire de France (IUF) et de l'Université de Provence. En outre, ASTEP bénéficie du soutien logistique permanent de l'Institut de radioastronomie millimétrique (IRAM), via le support technique des équipes de l'Observatoire du Plateau de Bure. BILAN 2000 2500 3000 3500 4000 Time (h) Premiers résultats montrant l’évolution en fonction du temps d’expérience du taux d’erreur SER (FIT/Mbits) des mémoires SRAM ST 0.13 µm [J.L. Autran et al., RADECS 2006 et IEEE Trans. Nucl. Sci., à paraître]. contact : [email protected] SCIENTIFIQUE 135 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Expérience “Rosetta” au Laboratoire Souterrain Bas Bruit (LSBB) et en région PACA L'arrivée récente sur le marché des technologies CMOS décananométriques ainsi que la standardisation des circuits numériques traitant des volumes d'informations très importants, accentuent à l'heure actuelle les problèmes radiatifs liés aux rayonnements terrestres tellurique (particules alpha) et cosmique (neutrons atmosphériques). Pour mener à bien des études portant sur l’impact de ces rayonnements sur les composants et circuits, l’idéal est de disposer de plusieurs sites d’expérimentation afin de pouvoir discriminer ou favoriser l’une ou l’autre des sources de rayonnement parasite. En ce sens, un site de référence tel que le laboratoire souterrain bas bruit (LSBB), totalement à l’abri du rayonnement cosmique, est indispensable pour pouvoir estimer précisément le taux d’erreur des circuits en l’absence de tout rayonnement naturel. A l’inverse, la plateforme ASTEP offre une implantation idéale en altitude pour étudier l’impact des neutrons atmosphériques sur le taux d’erreur de ces mêmes circuits. Suite à la conférence européenne RADECS 2005, le L2MP et la société Xilinx (San Jose, USA) ont développé un partenariat dans le cadre de l’expérience « Rosetta » pilotée par Xilinx. Trois bancs d’expérience ont été déployés en région PACA pour la caractérisation radiative de circuits FPGA VirtexII Pro®. Un premier banc (200 FPGA, 3.8 GBits) installé au LSBB permettra de quantifier l’influence de la radioactivité résiduelle des matériaux euxmêmes (silicium, couches minces, boîtier d’encapsulation, billes métalliques pour les connexions électriques) au sein des circuits sur leur fiabilité à long terme (plusieurs mois à plusieurs années) en terme aléas logiques. Deux autres bancs de test, rigoureusement identiques, ont été installés au L2MP à Marseille (altitude 124m) et sur la plate-forme ASTEP du Pic de Bure (altitude 2252m). Mis en réseau de télésurveillance avec quatre autres sites aux Etats-Unis, ce dispositif multi-sites, unique au niveau mondial, devrait permettre de fournir, dans les prochaines années, de précieuses données expérimentales sur la vulnérabilité de plusieurs générations de circuits FPGA. Figure 1. Vue d’ensemble du banc de test installé dans une cavité en béton armé de la galerie de secours du LSBB, à exactement -550m sous la roche calcaire du plateau d’Albion. Figure 2. Vue du 2e banc de test installé dans les locaux du L2MP à Marseille (bâtiment IRPHE). Figure 3. Détail de l’un des deux panneaux de test comportant 100 circuits FPGA Xilinx VirtexII Pro® : l’état logique de 1.9 milliards de cellules mémoires est ainsi surveillé par panneau. contact : [email protected] 136 DEVELOPPEMENT DE PLATES–FORMES RAPPORT D’ACTIVITE 2002 - 2006 CIM-PACA : Plate-forme Caractérisation La plate-forme Caractérisation du CIM-PACA vise à concevoir, tester et valider la mise en œuvre de nouvelles technologies préindustrielles qui conjuguent, au plus haut niveau de performance, qualité, rendement et fiabilité. Dans ce cadre, les objectifs de la plate-forme Caractérisation sont de : i) mettre à disposition des chercheurs des milieux académiques et industriels de la région les compétences et les appareils de pointe pour la caractérisation structurale, physique, chimique et électronique et ce à toutes les échelles pertinentes des matériaux et des dispositifs. ii) promouvoir des projets de recherche communs industriels/académiques dans le but de développer des méthodes et des outils de caractérisation innovants mais aussi de résoudre des problèmes matériaux. phénomènes d’interaction pointe-échantillon encore mal connus auxquels nous nous intéresserons. Cet équipement qui sera implanté sur l’Université du Sud Toulon Var implique plusieurs laboratoires (L2MP, TECSEN et CRMCN) et servira également de support à plusieurs projets industriels CIM-PACA et hors CIM-PACA. Projet MET (coordonateurs : J. Thibault, A. Charaï, TECSEN) Un des microscopes à transmission sera équipé d’un correcteur d’aberrations en imagerie. Cet équipement sera localisé sur le site de Saint Jérôme afin de bénéficier des compétences en ultra haute résolution (MEUHR). Fonctionnant à 300kV, cet appareil est actuellement unique en France. Les tests ont permis de visualiser les colonnes atomiques individuelles dans le silicium. (Figure 1 : photo B. Freitag FEI, J. Thibault). Les thématiques de la plate-forme (ARTHEMIS) sont donc axées sur la caractérisation physique, chimique et électrique l’analyse de défaillance, la métrologie et l’ultra propreté. - La caractérisation s’appuiera sur les équipements et les techniques suivants : MET, D-SIMS, Auger, FIB, , SEM, XPS, Raman, ToFSIMS, AFM, SRP, microscopies optiques, banc de test ESD, testeur très faible courant. - L’analyse de défaillance se fera grâce à un banc de microscopie d’émission dynamique + banc de stimulation laser et d’émission dynamique + testeur automatique associé, testeur nanométrique). - La métrologie et l’ultra propreté bénéficieront de mesure en ellipsométrie UV+visible, du contrôle de slurry, PTR-MS, ATD GC MS. Projet Micro-Raman (coordonnateur : J.-C. Valmalette, L2MP) Ce projet, soutenu par le CG-83 et le CR-PACA, concerne l’implantation sur la région d’un outil d’imagerie Raman sub-micronique. Nous avons choisi de combiner les deux approches actuellement à l’étude dans ce domaine des résolutions ultimes : configuration « champ lointain » par l’intermédiaire d’une excitation UV et d’un scanner piézoélectrique - configuration « champ proche » par un couplage AFM/Raman utilisant les possibilités d’exaltation du champ local produit par la pointe. Les premiers résultats présentés dans la littérature sur ce mode TERS (Tip Enhanced Raman Spectroscopy) révèlent des BILAN Figure 1. MEUHR d’un joint de grains de Si (011) Dans des conditions d’imagerie corrigée, il est démontré que le contraste est proportionnel à la densité de charge projetée. La composition des colonnes atomiques peut ainsi être étudiée (mise en évidence des distributions de dopants). La position des colonnes atomiques est aussi obtenue avec une précision de l’ordre du pm sur de grands champs. Ce microscope permettra non seulement des études structurales à l’échelle atomique mais aussi des études de structures électroniques grâce à un filtre en énergie (EELS). contact : [email protected] SCIENTIFIQUE Commentaire [ 1] : M ettre en avant les projets sur lesquels l’institut sera leader : TEM et Raman Supprimé : P R O S P ECTIVE Mis en forme : Police :Verdana 137 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE CIM-PACA : Plate-forme Conception Projet SSCO Le Centre Intégré de Microélectronique Provence-Alpes-Côte-d’Azur (CIM-PACA) a plusieurs missions dont les plus importantes sont : i) de renforcer et valoriser les synergies entre les laboratoires de recherches publics et les acteurs industriels ii) d’ouvrir l’accès de la recherche aux PME régionales iii) de devenir un pôle d’excellence pour l’innovation et l’industrialisation des objets communicant sécurisés (téléphones mobiles, cartes à puce, étiquettes sans contact, …). Dans le cadre du cycle d’innovation du CIMPACA (cf. Figure 1), la plate-forme Conception a pour mission de fournir aux chercheurs de la Région des outils de compétitivité orientés sur la conception et la vérification des systèmes intégrés sur puces pour des applications de communications sécurisées comme la RFID et les cartes à puce. Le but ultime du projet SSCO est de développer une plate-forme virtuelle de conception et d’optimisation. Les solutions qui seront proposées comprendront des protocoles de communication, des architectures logicielles et matérielles. Les moyens matériels principaux en cours d’installation par le L2MP dans ce contexte sont : i) la mise en place de bancs de mesures Radio Fréquence et ii) la mise à disposition de plates-formes de co-simulation. Figure 2. Synopsis SSCO Figure 1. Le cycle de l’innovation servi par CIMPACA La plate-forme Conception du CIM-PACA doit permettre, en particulier, d’accélérer et de fiabiliser l’industrialisation. Dans ce sens, le L2MP travaille au développement de méthodologies de conception et de validation pour les systèmes sur puce (SoC) avec ses partenaires académiques et industriels. Le laboratoire est particulièrement impliqué dans le projet SSCO (Small Secure Communicating Objects) de la plate-forme Conception du ‘CIM’ (cf. Figure 2) ; ce projet ambitieux comprend les sous-projets suivant : SP1 SP2 SP3 SP4 SP5 SP6 : : : : : : 138 Validation et optimisation de transceiver RFID et cartes à puce sans contact Antennes MIMO Mémoires alternatives pour TAG-UHF Antennes intégrées et front-end RF Développement futur DEVELOPPEMENT Le L2MP est particulièrement impliqué dans les sous-projets SP2, SP4 et SP5. Dans SP2, nous concentrons nos efforts dans la recherche de solutions de récupération d’énergie à 900MHz et 2.45GHz. Dans SP4, nous travaillons au développement de nouvelles mémoires alternatives et faible consommation pour les systèmes RFID-UHF, i.e. 900MHz et 2.45GHz. Dans SP5, nos projets de recherche concernent des applications très larges bandes (ou Ultra Wide Bande, UWB dans la littérature anglaise). Le laboratoire encadre, depuis un an, une thèse CIMPACA portant sur les interconnections RF des SoCs. Enfin, nous avons pour objectif de proposer une plate-forme de co-simulation complète assistée par ordinateurs et utilisant les logiciels traditionnels. Il s’agit principalement d’offrir un accès aux logiciels de conception aux différents partenaires industriels et académiques de la région PACA. ν contact : [email protected] DE PLATES–FORMES RAPPORT D’ACTIVITE 2002 - 2006 CIM-PACA : Plate-forme MicroPackS Projets L2MP PROJET « MICROCAPTEURS INTEGRES SUR SUPPORT SOUPLE » (MISS) PROJET « CONTACTLESS PROXIMITY CARDS » (CPC) Dans le cadre de la plate-forme MicroPackS du CIM-PACA, le projet Micropoly doit contribuer au développement d'une filière d’électronique imprimée sur Support souple pour des applications de masse «roll to roll manufacturing» nécessitant un coût de fabrication réduit et une haute cadence de production. Les domaines visés sont ceux de l'étiquetage, du passeport électronique, de la carte à puce, de tout objet nomade communicant nécessitant une fonctionnalité électronique simple embarquée. Le projet Micropoly est subdivisé en cinq lots. Dans le cadre de la plate-forme MicroPackS du CIM-PACA, le projet CPC vise à développer une plate forme humaine et technique de pré certification des produits sans contact conformes à la norme ISO/IEC 14443. Cette plate forme sera ensuite utilisée comme base de travail pour l’amélioration des technologies sans contact actuelles (e-passeport, cartes bancaires, …). Le L2MP est responsable du lot «Microcapteurs intégrés». Il s’agit de concevoir et réaliser des microcapteurs intégrés sur support souple, en vue d’applications embarquées, bas coût, tels que les étiquettes alimentaires, les capteurs de température (traçabilité, chaîne du froid), de gaz ou vapeurs (sélectif), d’intrusion, intégrés dans des structures supports souples sécurisées. Ces capteurs sont destinés au contrôle des variations de la température, à la détection des gaz et vapeurs, et peuvent être intégrés aux technologies de type TAG, carte à puce sans contact, ou servir de détecteur antiintrusion intégré dans des structures supports souples sécurisées. Ce projet fait l’objet de la thèse de T. Fiorido qui a démarré en décembre 2005, dans le cadre d’un contrat FEDER. Une réflexion importante est menée sur le design de ces microcapteurs, en fonction de l’application cible. Elle se fait en relation avec le lot « Microélectronique imprimée ». Le choix du monomère déterminant le domaine d’application et la spécificité de la réponse des capteurs se fait en relation avec le lot « Matériaux pour l’électronique polymère ». Le signal utile est un courant ou une tension électrique. La grandeur mesurée est généralement la résistance ou de la conductance (proportionnelle à la Température, au gaz ou vapeur, à la lumière). Le développement de CHEMFET polymères fait partie des objectifs identifiés. Les technologies choisies devront permettre de réaliser des capteurs fiables, stables et sensibles. Le L2MP a été retenu comme partenaire principal pour héberger et développer cette plate-forme. Nous avons donc, au premier semestre 2006, mis en œuvre sur le site de Toulon un banc de tests issu pour conduire des essais ISO/IEC 10373-6 et ICAO, ayant pour objectif d’une part, une reconnaissance par le monde industriel et gouvernemental et d’autre part, un retour d’expérience vers la norme ISO/IEC 14443 et 10373-6 (à travers des dépôts de nouveaux amendements). Ces travaux ont permis la mise à disposition d’un laboratoire d’évaluation et de pré certification (couche RF et protocole) des produits sans contact. Dans un deuxième temps, une amélioration des tests actuels est visée ainsi que leur automatisation. Parmi les thèmes d’amélioration, la mesure du bruit des produits sans contact, la mesure de la sensibilité des lecteurs, les tests à haut débit restent à normaliser ainsi que les méthodes d’étalonnage des bancs de test. Des méthodes théoriques et pratiques de modélisation et simulation seront utilisées. Une thèse a débuté en juillet 2006 sur le sujet. Enfin, les travaux de recherche destinés à définir les paramètres RF de type ISO 14443 seront entrepris. Ils permettront de garantir le fonctionnement simultané de plusieurs produits sans contact répondant aux normes eVISA. Les résultats seront utilisés pour développer une nouvelle génération d’interface RF pour carte à puce sans contact à microprocesseur compatible avec un mécanisme d’anticollision. Ceci permettra de définir les amendements nécessaires aux normes ISO/IEC 14443 et ISO/IEC 10373. Les partenaires industriels de ce projet sont actuellement : Gemalto, ASK, Inside Contactless, ST Microelectronics, Atmel, SPS. Prochainement, seront associés les laboratoires de certification (RFI Global, FIME, Soliatis). ν contact : [email protected] BILAN SCIENTIFIQUE 139 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Plate-forme de caractérisation interuniversitaire-CNRS Marseille Nord Cette plate-forme comprend des équipement lourd de RMN, spectrométrie de masse, et microscopie électronique. La mutualisation de ces gros équipements permet de maintenir un excellent niveau de performances. L’institut est un gros utilisateur de cette plateforme et plus particulièrement des équipements du CP2M, le Centre Pluri-disciplinaire de Microscopie électronique et de Microanalyse Ce centre met ses moyens matériels et ses compétences, à la disposition d'une large communauté scientifique du secteur public et industriel. Ses domaines d'application s’étendent des Sciences des Matériaux, aux Sciences de la Terre et de la Vie. Le CP2M est fréquenté par des équipes de recherche d’origines très diverses, régionales, nationales et internationales. Le centre intervient soit directement comme partenaire, soit à travers des utilisateurs dans des collaborations avec le secteur industriel (Métallurgie, Microélectro-nique, Optique, Traitement des Eaux et Cimenteries), et dans plusieurs Programmes nationaux (GDR, CNRS et Européens). Au CP2M la caractérisation chimique de nano structures peut se faire à l'échelle atomique, grâce à la Microscopie Electronique en Transmission Haute Résolution, et à la spectrométrie des Pertes d'Energie (EELS) associée. La nature et l’environnement chimique de phases nanométriques peuvent être déterminés. canon à émission de champ, Microanalyse X et Cathodoluminescence, plus particulièrement adaptée à l’étude des matériaux durs a été acquit. Dans le cadre d’un partenariat régional, l’acquisition d’un FIB (Focused Ion Beam) a renforcé le champ d’action du centre, en direction de la Micro-électronique. Cet instrument permet, en effet, de préparer directement sous le faisceau d’ions du Microscope à Balayage, des échantillons destinés à la Transmission : repérage de l’échantillon, orientation (face ou coupe transversale), découpage, amincissement extrêmement régulier d’une région préalablement localisée, et récupération sur un support adapté à la Transmission. Les applications du FIB dépassent largement le domaine de la microélectronique. Des chercheurs d'autres domaines de la recherche fondamentale (métallurgistes, géologues,…) et appliquée (Sollac) utilisent fréquemment le FIB. Figure 2.Nano-usineur par faisceau d’ions FIB Figure 1. Microscope électronique en transmission haute résolution JEOl 2010F, canon à émission de champ et spectroscopie EELS Grâce à des cofinancements de l’Etat, des Collectivités territoriales, des industries régionales et de la Communauté Européenne (FEDER), le CP2M a entrepris l’évolution de son parc instrumental en micoscopie à balayage pour satisfaire les exigences des utilisateurs, et ainsi le mettre au même niveau de performance et de fiabilité qu’en Transmission. Ainsi, un microscope Analytique à Haute Résolution avec 140 VALORISATION En 2005, avec l’aide de crédits publics (MENRTRégion PACA - Conseil Général des Bouches du Rhône- ANR), un Microscope Electronique en Transmission de type 200 kV (LaB6) a été acquis. Il est équipé d’un système d’analyse par Spectrométrie de Rayons X EDS et d’une caméra CCD, qui permet de réaliser toute la microscopie conventionnelle : champs clair de grandes régions, champs sombre classique et à faisceau faible, diffraction électronique. De nombreux chercheurs de l’institut impliqués dans les techniques de microscopie électronique ou de nano-analyse participent au développement de nouvelles méthodologies et à l’amélioration des performances des outils mis à disposition par le CP2M. Un technicien de l’institut s’est spécialisé dans l’usinage nanométrique par FIB, son expertise est utilisée par les divers utilisateurs. ν contact : [email protected] ET PARTENARIAT RAPPORT D’ACTIVITE VALORISATION ET 2002 - 2006 PARTENARIAT 141 RAPPORT D’ACTIVITE 2002 - 2006 3. Prospective Eléments généraux Département Matériaux et Nanosciences Département Micro et Nano Electronique 141 RAPPORT C D’ACTIVITE e projet repose sur la volonté commune des laboratoires TECSEN et L2MP de renforcer ensemble leur potentiel de recherche, d’innovation et de partenariat industriel en se réunissant dans un Institut de recherche. Le potentiel humain global est d’environ 290 personnes (170 permanents, 120 doctorants, post-docs et chercheurs invités). Le champ disciplinaire est large, allant de façon continue de la recherche fondamentale aux applications intéressant les industriels. L’activité scientifique de cet ensemble peut se diviser en deux thématiques principales qui présentent de nombreux recouvrements : Matériaux Nanosciences et Nanoélectronique Microélectronique. Cet ensemble de recherche participe de l’avancée des connaissances dans les domaines des matériaux, des nanosciences, des composants, des circuits et systèmes intégrés. De par la nature des équipes composant l’Institut, l’orientation scientifique générale se doit d’aller vers la nanoélectronique et microélectronique et les matériaux qui leur sont associés. Une autre évolution naturelle correspond aux études de nanostructuration des solides et des effets physiques associés. L’étroite collaboration entre Physiciens, Chimistes et Microélectroniciens permet d’ores et déjà de mener à bien des études de nanoélectronique tant du point de vue des composants que des circuits. Plus précisément, concernant la thématique Matériaux et Nanoscience, nos efforts porteront sur une meilleure connaissance, d’une part des effets physiques liés à la réduction de la taille des composants et d’autre part, de certains matériaux ou d’assemblage de matériaux. L’élaboration (croissance) d’objets nanométriques, de nanomatériaux pour le photovoltaïque et de nouveaux matériaux pour l’énergie (fission et fusion) sera au cœur de cette thématique. A l’appui de cette dernière, le développement d’une métrologie de pointe (AFM UHV, Microscopie électronique ultra haute résolution corrigée,…) sera nécessaire pour garantir une bonne maîtrise de ces objets en terme de taille, de densité et de distribution. 2002 - 2006 intégrés et la montée en fréquence des applications de type Wireless. Nos objectifs pour y répondre sont de renforcer notre potentiel d’innovation en soutenant des projets fondamentaux susceptibles de résoudre des problématiques industrielles. Notre politique scientifique sera donc d’inciter les équipes qui constituent l’Institut à proposer de tels projets ou de soutenir des propositions qui nous semblent prometteuses. Le soutien à l’innovation et le transfert technologique seront confortés sur la base des nombreux partenariats industriels actuels et sur la participation de l’Institut aux structures de mutualisation régionales : les plateformes CIMPACA et les pôles de compétitivité mondial et à vocation mondial (SCS, Sécurité…). La mise en place de nouveaux programmes de recherche pluriannuels avec de grands groupes industriels représentera une action majeure de notre Institut. Notre politique de gestion des ressources humaines sera aussi basée sur cette stratégie. L’effort portera sur des recrutements permettant de mener des études dans les domaines des matériaux - nanosciences et nanoélectronique - microélectronique et à la charnière entre ces domaines. Cette action sera conduite en étroite collaboration avec les composantes de formation des établissements, les organismes de recherche et les industriels. En particulier, le recrutement de chercheurs CNRS permettra de conforter les recherches de certaines de nos équipes. Nous contribuerons d’une manière générale à l’amélioration de l’offre de formation dans les domaines concernés par l’Institut ; ainsi, la mise en place d’un master sur les matériaux innovants et les énergies renouvelables et d’un DU « plateforme d’entrée en master 2 pour étudiants anglophones » est déjà à l’étude. Enfin, accroître le taux de placement des étudiants formés restera une préoccupation de l’ensemble des chercheurs et enseignants chercheurs de l’Institut. ν Rachid Bouchakour ν Bernard Pichaud En nanoélectronique, nos recherches se situent à la convergence des nouveaux matériaux et des nouveaux procédés d’élaboration. Elles conduiront à la réalisation de dispositifs émergents permettant d’accroître les performances des nouvelles applications. En microélectronique, nos recherches seront centrées sur le développement de composants émergents, le management de la puissance consommée par les circuits et systèmes PROSPECTIVE 143 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE L e département Matériaux et Nanosciences axe sa recherche sur la compréhension et la maîtrise de l’organisation des matériaux depuis l’échelle micronique jusqu’à l’échelle nanométrique. Il réunit des physiciens et des chimistes du solide travaillant sur des thèmes porteurs de fonctionnalités et d’applications, plus particulièrement en microet nanoélectronique, magnétisme, métallurgie, électronique moléculaire et de spin. Cela concerne en particulier : • • • • • • • • • la formation d’alliages, siliciures métalliques, alliages métalliques complexes ou matériaux modèles, par réactions interfaciales et diffusion réactive à l’état solide la dynamique de formation des microstructures de solidification d’alliages métalliques et organiques, et le rôle de la gravité sur les phénomèmes physiques mis en jeu les matériaux pour la spintronique, nanoparticules pour l’enregistrement magnétique, la manipulation de spin la création d’objets nanométriques par croissance épitaxiale et nanostructuration des substrats les processus d’auto-assemblage pour la réalisation de réseaux moléculaires et réseaux de nanocristaux les phénomènes de plasticité, diffusion, dislocations, dans des objets de petites dimensions sous contraintes mécaniques les caractérisations structurales de défauts étendus et ségrégation aux joints de grains les études thermodynamiques de nanoobjets et de matériaux sous contraintes les méthodes et moyens théoriques, de modélisation et de simulation ; calculs analytiques, ab initio, champ de phase,… Réaction et diffusion aux interfaces Nos objectifs se définissent par une volonté de comprendre les mécanismes de formation et la stabilité de systèmes de taille nanométrique où les interfaces jouent un rôle prépondérant et modifient les propriétés des matériaux. La réalisation de ces systèmes passe par la connaissance et le contrôle de l'équilibre, de la cinétique et de la réactivité à l'échelle nanométrique : Stabilité thermodynamique La stabilité des phases nanométriques dépend de la température et de leur composition mais également de leur taille, de leur forme et de leur environnement. L'objectif de ce projet est donc de déterminer expérimentalement l'effet des paramètres qui régissent les conditions d'équilibre et la stabilité des nanosystèmes. 144 Réactivité interfaciale Nous étudierons les mécanismes de formation et de stabilité à l'échelle nanométrique et en particulier: (i) les premiers stades de réaction dans les films minces, (ii) la rugosité des interfaces lors des réactions (ESRF), (iii) l'effet d'éléments d'alliage sur la formation et la stabilité des phases (ATMEL), (iv) l'effet du confinement notamment dans les dispositifs de la nanoélectronique (ATMEL). Transport de matière Notre objectif est d’étudier les aspects de nanodiffusion et de ségrégation. Nous aborderons (i) l’effet de la contrainte sur la diffusion (Balaton), (ii) la redistribution des dopants dans les dispositifs (CIM PACA), (iii) la redistribution des éléments d’alliage ou des dopants lors d'une transformation de phases (métallisation - LETI, cristallisation du Si – STMicroelectronics) (iv) la redistribution des électrons et les propriétés diélectriques de nanograins d’oxydes (U.Ekaterinburg). Nos études vont des systèmes binaires vers les systèmes plus complexes combinant des métaux (Al, Ni,…), des semiconducteurs (Si,Ge) et des dopants (B, As,…). La comparaison de la stabilité, la réactivité et la diffusion dans ces systèmes présente un fort intérêt fondamental et appliqué (REX CMA). En appui à nos techniques actuelles (DRX, DSC, Auger,…), nous réaliserons une enceinte permettant l'analyse "in situ et en temps réel" par DRX, résistivité et réflectométrie avec le synchrotron (ESRF). La nanocalorimétrie (U. Barcelone) prolongera les études par DSC. Nous voudrions acquérir une sonde tomographique atomique: cette technique unique pour analyser des volumes nanométriques nous a permis récemment d'obtenir des résultats très prometteurs (GPM, Rouen) et nous parait essentielle pour relever les défis des matériaux de l’électronique de demain. Microstructures de croissance autoorganisées L'équipe approfondira l’analyse des processus physiques et chimiques qui régissent la dynamique de formation et la sélection de la microstructure de matériaux élaborés par solidification à partir du bain fondu. Ces microstructures contrôlent les propriétés des matériaux en métallurgie et sont rédhibitoires en cristallogenèse pour la microélectronique. C’est la ligne de recherche développée avec le soutien du CNES et de l’ESA, et dans le cadre du Réseau d’Excellence Européen “Complex Metallic Alloys” axé sur les composés intermétalliques à grande maille. - Formation et contrôle de la microstructure 3D de solidification d’alliages massifs - Références diffusives et effets de la convection : Les études au sol constitueront le socle de nos études. Seul l'espace (= Très Grand Equipement) permet des expériences dans la limite du transport diffusif ; les projets engagés comportent donc un volet dans la station MATERIAUX–NANOSCIENCES RAPPORT D’ACTIVITE spatiale ISS. Ces expériences, trop longtemps retardées, devraient s’étaler sur la période 2008 – 2012. Dans le cadre du projet DECLIC, nous utiliserons le Directional Solidification Insert, dédié à l’étude in situ et en temps réel de la solidification dirigée d’alliages modèles transparents, pour constituer une base de données de référence sur la formation des microstructures cellulaires et dendritiques, avec (1g) et sans (µg) convection (Coll. R. Trivedi, Iowa State University et A. Karma, Northeastern University, Boston). Avec plusieurs équipes européennes, nous poursuivrons le projet CETSOL (phase 3 sur 2006 – 2009), centré sur la transition colonnaire – équiaxe dans les systèmes métalliques, avec des expériences sous gravité réduite dans le Materials Science Laboratory. - Etude par imagerie X synchrotron de la formation des microstructures et des déformations, contraintes et défauts associés : Ces études, notamment par topographie X, sur des systèmes à base Al solidifiés en lame épaisse seront un des points forts de nos activités (Coll. T. Schenk, LPM, Nancy et le groupe de J. Baruchel, ESRF). Nous démarrons le projet XRMON “In situ X-Ray MONitoring of advanced metallurgical processes under microgravity and terrestrial conditions”. Dans ce cadre, un dispositif de radiographie X in situ et en temps réel utilisant une source ponctuelle est développé, dont on pourrait dériver un dispositif de laboratoire. - Modélisation et simulation numérique de la convection (naturelle, forcée par vibration), et de ses effets sur la solidification : Cette étude, faite dans le cadre de collaborations avec Q.S. Liu, National Microgravity Laboratory of China et avec Ch-A. Gandin, ENSM-Paris, s’attache à décrire au plus près les expériences effectuées par l’équipe, en particulier celles avec convection vibrationnelle. Magnétisme Comme c’est déjà apparent à travers la partie Bilan, l’activité principale de recherche de l’équipe "Magnétisme" regroupe des thèmes dont le dénominateur commun peut être décrit comme l’étude du Magnétisme des nanomatériaux quantiques fortement corrélés. Tout en réservant une place privilégiée à la physique fondamentale, nous continuons de développer des thématiques étroitement liées aux applications technologiques de nano-matériaux dans le domaine de la spintronique et l'enregistrement magnétique. La recherche de nouveaux semiconducteurs magnétiques pour la spintronique. L’objectif de ce projet est d'aborder les phénomènes physiques sous-jacents aux modifications des propriétés magnétiques des semiconducteurs étudiées au cours de dopages par des ions 2002 - 2006 métalliques. Nous poursuivrons plusieurs chemins afin de réaliser un alliage ou une structure de Zn(Métal)O intrinsèquement ferromagnétique à température ambiante. On étudiera i) des alliages ZnCoO fortement dopés p en introduisant N ou As, ii) des puits quantiques ZnMgO/ZnCoO/ZnMgO possédant un champ électrique interne pour l’introduction locale de trous et iii) des alliages ZnGdO, (l’effet d’une augmentation colossale du moment magnétique par atome de Gd). L'application de la RPE pour l’étude du magnétisme moléculaire. Dans ce projet, nous nous intéressons à l’étude, essentiellement par RPE multi-fréquences, de la dynamique des spins dans des systèmes où les entités magnétiques de base comportent un nombre fini de spins et qui seraient faiblement couplées entre elles. L’accent sera mis sur des systèmes où l’ion magnétique est le V4+ (S=1/2) et où les interactions sont antiferromagnétiques. Dans cette perspective, i) nous poursuivrons notre étude du vanadate η-Na1.286V2O5 et ii) nous démarrerons l’étude de systèmes basés sur des dimères de spins, tels que le vanadate CsV2O5 et les systèmes MZn(H2O)(VO)2(PO4)2(H2PO4), des vanadophosphates où M = K, Rb ou Cs. La manipulation de spin et la réalisation d'un qubit à l'état solide. Ce projet s'ouvre sur une thématique nouvelle, ''la dynamique quantique cohérente de spins'', pour laquelle un travail de fond est nécessaire. Nous étudierons les semiconducteurs magnétiques dilués, présentés dans le premier projet, par la RPE pulsée afin de comprendre les mécanismes fondamentaux intervenant lors de la manipulation de spins électroniques (problème de décohérence). Notre but est la réalisation de qubits de spins électroniques avec, à terme, la possibilité de réaliser quelques calculs simples. Nanostructuration La réalisation de structures supra-moléculaires fonctionnelles est un sujet en fort développement et nous poursuivrons notre projet de recherche sur les voies nouvelles d’auto-assemblage de couches moléculaires bien ordonnées sur des surfaces cristallines bien caractérisées (approche bottom-up). Des systèmes auto-assemblés robustes sont un point de départ nécessaire pour produire des objets de dimensions nanométriques ayant des potentialités d’applications comme le stockage de données, l’électronique moléculaire ou la détection moléculaire. Les apports de la chimie supramoléculaire seront mis à profit pour assembler des molécules – briques de basecomme les phtalocyanines, les porphyrines, les pérylènes, fonctionnalisées de façon à obtenir des ponts hydrogènes et créer des réseaux 2D. Deux autres voies seront explorées: la formation de complexes métalligand moléculaire, et la formation de réseaux par PROSPECTIVE 145 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE liaison covalente suivant le concept de « clickchemistry » utilisé en chimie des polymères. Clairement ces études mettront en œuvre une coopération forte entre chimistes et physiciens, au sein du programme PNANO « Cristalmol 2D » ( ANR 2007-2009). Les recherches menées en vue du développement d’une électronique moléculaire sont basées sur des assemblages de chaines moléculaires telles que alkyltrichlorosilanes et alkylsilanes. La formation d’ilots différenciés par la longueur de chaînes sera mise à profit dans le projet de cellule mémoire moléculaire compatible avec la technologie CMOS (programme PNANO « MEMO » (ANR 20062009) et projet européen « EMMA » ( Emerging Materials for Mass-storage 2006-2009). Une autre approche d’auto-organisation sera basée sur la différenciation obtenue sur deux faces cristallines d’un cristal lorsque les énergies de surface sont – naturellement ou par fonctionnalisation- très différentes. Cette approche originale s’intéresse aux matériaux inorganiques. Sur le plan expérimental nous mettrons en oeuvre un couplage AFM/Raman pour explorer les possibilités du mode TERS dans lequel l'exaltation du champ local produit par la pointe AFM pourrait fournir une information chimique locale dans nos nanostrutures. Nous développerons une microscopie STM en milieu liquide dans le but de pouvoir assembler de grosses molécules (impossibles à évaporer en ultra-vide). Enfin nous souhaitons rapidement monter une expérience d’AFM en ultra-vide afin d’explorer les processus d’organisation moléculaire sur des surfaces isolantes, un sujet qui n’a encore été abordé que par une poignée d’équipes dans le monde. Théorie, modélisation et simulation Nos activités dans le domaine des modes et des formes de croissance s’orientent : - d’une part, sur les fondements du modèle standard de champ de phase pour mieux comprendre ses relations avec le modèle physique d’interface abrupte. Un accent particulier est mis sur l’origine du courant d’anti-piégeage généralement introduit de manière phénoménologique. Les résultats obtenus seront utilisés dans nos simulations numériques de la solidification, afin d’obtenir une description quantitative des expériences. - d’autre part, sur la dynamique des marches des surfaces vicinales sous l’action d’un champ électrique (électro-migration de surface). Nous avons déjà établi une équation d’amplitude non linéaire décrivant la dynamique de marches synchronisées. Nos simulations actuelles permettent une comparaison directe avec 146 l’expérience. Dans un second temps, notre modèle devrait incorporer la transparence des marches observées pour Si(111) dans un domaine de températures intermédiaires. En ce qui concerne les calculs ab initio de structure électronique, nous procéderons à une caractérisation quantitative des interactions intermoléculaires, dans les arrangements de ZnPcX8. Nos premiers résultats montrent déjà que la liaison C—H...Cl est plus covalente et la liaison C—H...F plus électrostatique. Ces résultats permettront d'expliquer l'apparition ou non de défauts dans les arrangements de molécules. Sur le thème des électrons fortement corrélés, nous voulons étudier les propriétés électroniques de systèmes caractérisés par la présence simultanée de corrélations fortes et d’impuretés. Cela concerne tout d’abord les semi-conducteurs magnétiques dilués, en forte collaboration avec l’équipe Magnétisme. D’autre part, nous aborderons des problèmes plus fondamentaux sur les transitions métal-isolant observées dans ces matériaux en combinant différentes méthodes: calcul ab-initio, théorie du champ moyen dynamique, Monte Carlo quantique (QMC), etc... Cette stratégie sera également adoptée pour les travaux prévus dans le domaine des systèmes magnétiques à basse dimension et des supraconducteurs à haute température critique. Tout récemment, le modèle de Hubbard a connu un regain d'intérêt dans un contexte très différent et très en pointe: celui des atomes froids piégés dans des réseaux optiques. La modification des conditions expérimentales se traduit par une variation des paramètres du modèle. Notre expertise pourrait être appliquée à des problèmes de refroidissement d’un tel gaz atomique. Un travail, impliquant l’utilisation de la TPSC (two particules self consistent approximation) et du QMC est en cours, en collaboration avec le Prof. A.-M. Tremblay de l’Université de Sherbrooke. Contraintes mécaniques dans objets de petites dimensions des La problématique des contraintes mécaniques dans les objets de petite taille est devenue un thème de recherche très important ces dernières années et l’équipe « Contraintes » a été très présente dans les actions nationales (GdR RELAX, Réseau STRESSNET) consacrées à ce sujet. Dans les années à venir la compréhension et la détermination des déformations à une échelle nanométrique va devenir un enjeu majeur. Nos travaux récents en diffraction cohérente (voir rubrique « faits marquants) pour la détermination directe des déformations vont continuer à s’amplifier. Une collaboration formalisée avec des centres de rayonnement synchrotron (ESRF, SOLEIL) MATERIAUX–NANOSCIENCES RAPPORT D’ACTIVITE apparait nécessaire afin de pérenniser l’accès au temps de faisceau (Long Term Project avec ID01, mais aussi participation à l’équipement de la ligne CRISTAL de soleil). Les résultats récents obtenus en inversion montrent l’importance du développement algorithmique. Une collaboration avec le laboratoire Fresnel, dont l’expertise est très forte dans ce domaine, est en cours de démarrage. L’étude de la relaxation plastique dans des films et lignes métalliques (Cu, Al, Au) continue à poser des questions très fondamentales sur les mécanismes de déformation et en particulier sur la dynamique des dislocations dans ces structures confinées. L’utilisation de la microdiffraction en faisceau blanc devrait s’avérer très utile pour la compréhension des interactions entre grains et la plasticité dans ces systèmes. L’ANR Cristal qui démarre fin 2006 (CEA Leti) porte sur la maîtrise de la microstructure des métaux aux dimensions nanométriques. D’autre part une collaboration avec l’Université de Leoben (G. Dehm) est en cours pour effectuer des mesures de microdiffraction au cours de la traction de nanofils. L’interaction avec le groupe de S. Baker à l’université Cornell se poursuit. Nous espérons en particulier pouvoir accéder au champ de déformation dans un grain unique par diffraction cohérente. Dans le prolongement de la thématique contraintes et interdiffusion, nous souhaitons par la suite aborder l'effet de l'anisotropie de diffusion (induite par les contraintes) sur la formation métastable de nanostructures dans des systèmes multicouches. Cette étude nécessite le développement de simulations "atomistiques" (Monte-Carlo cinétique) couplées à des expériences par diffraction des rayons X (ou des neutrons). Par ailleurs les recherches sur les contraintes développées au cours de la siliciuration se poursuivront en lien avec la microélectronique. Enfin l’activité de développement instrumental de l’équipe –essentielle pour le montage d’expériences originales comme l'analyse couplee contrainte-deformation par exemplesera confortée. Défauts étendus et nano-objets : structure et thermodynamique Dans les systèmes contraints, retarder la relaxation pour conserver des couches contraintes (GaInAs/GaAs (111)) ou favoriser la relaxation (SiGe/Si) sont des sujets que le savoir faire de l’équipe en terme d’ingénierie des défauts peut faire avancer avec des applications potentielles. L’étude des films nano-métriques métalliques (élaboration sous UHV et mesure des contraintes in situ) est plus en amont, permettant ainsi le développement 2002 - 2006 d’un savoir faire sur la synergie structure, composition chimique et contraintes mécaniques dans les interfaces. Une question importante qui se pose encore est celle de la ségrégation d’impuretés dans les dislocations (joints de grains). L’atmosphère de Cottrell est -elle localisée (effet chimique) ou en « nuage » (effet de taille ou de champ de contrainte) ? Dans ce domaine, la microscopie UHRTEM quantitative et les pertes d’énergie EELS (microscope corrigé CIM-PACA) vont permettre d’avancer, sur des matériaux modèles (Si,SiC). Les calculs de structure de cœur et de structure électronique des défauts nécessaires pourront être développés en collaboration au sein de l’institut. Dans le SiC, les défauts étendus sont des puits quantiques nanométriques, les forces mécaniques, thermodynamiques et électroniques qui les développent doivent être comprises. Les travaux sur la mesure locale des contraintes mécaniques avec la société ST Microélectronics seront poursuivis en utilisant la technique CBED couplée aux simulations de cliché et de la relaxation. Les études thermodynamiques de nano-objets ou de matériaux confinés à basse dimensionnalité sont au centre de gravité de l’équipe puisqu’ils associent toutes les sensibilités et les compétences ; c’est un domaine où les efforts devront être portés. L’accent sera également mis sur la métrologie de populations de nanoobjets et de leur cinétique de croissance (nanocavités, nano-précipitation pour propriétés mécaniques et thermodynamiques). La thermodynamique sous contrainte continuera à être développée en introduisant une contrainte anisotrope dans les fonctions enthalpie libre. Le calcul de diagrammes d'équilibre sous très fortes contraintes est prévu ainsi qu’une extension à la plasticité. Dans le domaine des matériaux pour l’énergie et l’environnement des données manquent pour de nombreux systèmes : carbures, nitrures et phosphates (ITER). Leur étude sera réalisée à l’aide des outils de calorimétrie de l’institut mais il faudra aussi développer la spectrométrie de masse d’effusion (phase gazeuse complexe). Les travaux sur l’interaction plasma-matériaux pour ITER à l’aide des EELS vont se poursuive, il est prévu une implication croissante de l’équipe sur les problèmes de matériaux pour ITER (nouveaux alliages, réfractaires) L’équipe constitue le noyau principal du réseau MET PACA, ce pôle de compétence en microscopie quantitative va être un outil majeur de l’étude de la nano-structure par la UHRTEM corrigée, de la nano-chimie par les EELS et les calculs de structure électronique, de la nano- PROSPECTIVE 147 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE mécanique par les déformation in-situ en TEM. Des séminaires et groupes de travail vont animer ce réseau, et une école européenne thématique « défauts étendus » est en projet. Nanostructures épitaxiées Finalement, nous étudierons l’auto-organisation des boîtes quantiques de Ge sur les nouvelles surfaces développées lors des études ci-dessus. semiconductrices Les perspectives de nos activités se scinderont en deux parties : - Fabrication de composants modèles En ce qui concerne la fabrication de transistors MOSFET, nous étudierons l’élaboration et le dopage de canaux de Si et de Ge contraints sur SOI. En particulier, nous déterminerons les conditions expérimentales permettant une incorporation maximale des dopants. Nous fabriquerons ensuite des structures modèles dont les caractéristiques électriques seront testées en fonction de la contrainte en tension appliquée au Si (contrainte en compression pour Ge). Par ailleurs, nous continuerons le développement d’un procédé de fabrication des mémoires à nanocristaux (NC) en Ge ou Si. Pour cela, nous déterminerons les caractéristiques électriques de mémoires présentant des NC de différentes tailles, densité organisation et nature (Ge et Si). Le confinement et les propriétés électriques des NC seront étudiés par mesure globale ou locale (AFM et STM in situ). La structure électronique des systèmes élaborés, sera calculée et comparée aux résultats expérimentaux. Les propriétés électroniques des composants modèles seront caractérisées. En particulier nous nous attacherons à comprendre les phénomènes de confinement quantique et de blocage de Coulomb dans les NC. - Mécanismes de croissance et d’autoorganisation Nous étudierons l’incorporation d’éléments d’addition afin de réaliser des semiconducteurs dilués à base de SiGe présentant de nouvelles propriétés. Plus précisément, nous étudierons l’incorporation du Mn, B et Sb dans des alliages SiGe de différentes concentrations et avec différents niveaux de contraintes. Par ailleurs, nous développerons la nanogravure des substrats par FIB in situ. Le but est de coupler les colonnes d’ions focalisés et d’électrons au bâti MBE existant afin de réaliser la nanostructuration des substrats et la fabrication des couches in situ. Nous utiliserons le FIB-UHV in situ en particulier pour graver sélectivement les substrats. Nous continuerons en parallèle à explorer les voies de nanostructuration naturelle en utilisant les instabilités de croissance en s’appuyant sur les prédictions théoriques de nos collaborateurs. 148 MATERIAUX–NANOSCIENCES ν Louis Porte RAPPORT L D’ACTIVITE e département Micro et Nanoélectronique du futur Institut regroupera les quatre équipes de recherche existantes du L2MP (dispositifs ultimes sur Silicium, mémoires, conception de circuits intégrés, microcapteurs) et deux nouvelles équipes : - une équipe intitulée « composants pour l’optoélectronique et la conversion photovoltaïque » issue du laboratoire TECSEN et de l’arrivée du groupe animé par Ludovic Escoubas ; - une équipe « signaux et systèmes » correspondant à l’intégration de l’équipe GESSY (Toulon) au nouvel Institut. Dans ce contexte, deux enseignants-chercheurs et un ingénieur, actuellement membres de l’équipe Conception du L2MP, rejoindront cette nouvelle équipe sur Toulon : il s’agit de Frédérique Robert-Inacio, de Philippe Courmontagne et de Christine Pérony. L’ensemble de ces six équipes rassemblera un potentiel de recherche humain (>140 personnes) et matériel très important, sans équivalent au niveau régional dans le champ disciplinaire. Il couvrira un spectre thématique très large en microélectronique et nanoélectronique allant de façon continue des aspects fondamentaux des matériaux et dispositifs aux circuits et applications, avec un couplage industriel très fort et une insertion idéale dans le schéma de développement économique (pôle de compétitivité mondial SCS). Au niveau national et européen, le département se positionne également de façon complémentaire par rapport à l’existant non seulement dans ses thématiques de recherche mais également dans ses perspectives et dans ses choix stratégiques pour l’avenir, détaillés dans la suite du texte pour les six équipes. Dispositifs Ultimes sur Silicium Les travaux que nous envisageons pour les années à venir se situent clairement dans le champ de la nanoélectronique sur silicium, avec des préoccupations théoriques concernant la modélisation et la simulation de matériaux et de dispositifs émergents. Nous envisageons un travail à long terme portant sur la modélisation physique de nano-composants, qu’il s’agisse de dispositifs ultimes de la microélectronique ou de composants conceptuellement innovants, en rupture technologique par rapport à l’existant. Ce programme de travail inclura trois niveaux d’étude : - Niveau "atomique" : ce travail théorique aura pour but de continuer à développer les méthodes de simulation à l’échelle atomique, dans la perspective de simuler les propriétés électriques de clusters atomiques de dimensions compatibles avec la description de véritables nano-composants. L’ambition affichée est d’arriver à terme à la mise au point de codes de "simulation électrique prédictive" qui devraient constituer un outil essentiel dans la conception de composants nanométriques. 2002 - 2006 - Niveau "dispositif élémentaire" : nous poursuivrons les études en cours portant sur les phénomènes physiques émergents (effets quantiques, transport balistique) dans les dispositifs ultimes. Nous souhaitons nous intéresser par la suite à leur influence mutuelle et à la combinaison de ces phénomènes avec des mécanismes hors équilibre (passage d’une particule ionisante, excitation transitoire). Ce travail de modélisation débouchera sur la réalisation de nouveaux codes de calcul qui seront validés par une double confrontation avec des données expérimentales d’une part et avec les résultats de simulation à l’échelle atomique, d’autre part. - Niveau "circuit" : sur la base des modèles développés précédemment, nous proposons de mettre au point une chaîne de simulation globale dédiée à la simulation de circuits intégrés. Cette deuxième étape est indispensable pour évaluer l’impact de ces phénomènes au niveau des performances des circuits associant un nombre élevé de dispositifs élémentaires. Enfin, en ce qui concerne les études de fiabilité électrique, l’objectif reste l'identification des mécanismes de dégradation propres à certains types d’applications (I/O, cœur logique, dispositifs de puissance) en regard des procédés d’élaboration des structures de grille et source/drain. Nous devrons adapter les techniques de caractérisation à chaque mécanisme, modéliser finement les phénomènes physiques lors des contraintes en tension et température, afin de déterminer la nature des défauts induits et leur impact en terme de durée de vie des dispositifs. Ces études sont d’ores et déjà engagées pour les filières 90, 65 et 45 nm (oxydes de grille entre 6.5 nm et 1.3 nm). Enfin, nous engageons dans le cadre du CIM-PACA des études sur l’impact des contaminations organiques ou nonorganiques sur les performances électriques des dispositifs MOS, et nous poursuivons des études sur les courants SILC dans les oxydes de 6-7 nm pour mémoires non volatiles afin d’évaluer l’influence des étapes de procédés sur leur potentielle guérison (partielle ou totale). Mémoires Nos perspectives de recherche prennent en compte les nouvelles contraintes de conception et de fabrication des mémoires. Les axes de développement s’articulent autour de solutions innovantes allant des matériaux à l’architecture du point mémoire avec comme objectif l’optimisation du rendement et de la fiabilité. La thématique "MéMatA" s'est déjà orientée vers l’analyse de la fiabilité de mémoires ultrarapides de type "resistive switching" telles que les MRAM, OxRRAM et PMC. Cette activité émergente s'appuie sur deux thèses démarrées en 2005/2006. Par ailleurs, l'équipe "Mémoires" est partenaire du projet européen IST EMMA ("Emerging Materials for Mass-storage Architectures"), démarrant en septembre 2006 PROSPECTIVE 149 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE et visant à développer de nouvelles mémoires non volatiles pour les futures technologies sub32 nm. Ce projet associe le L2MP à 5 autres partenaires européens (IMEC, RWTH-Aachen, STMicroelectronics, MDM et IUNET). La thématique "MISSi" travaillera, avec des industriels, sur des architectures de mémoires volatiles et non-volatiles à base de transistors MOS à double-grilles indépendantes. Le but est d’intégrer des dispositifs mémoires dans les circuits logiques (mémoires embarquées) permettant d’abaisser les coûts de production et d’augmenter les performances de ces circuits intégrés. Dans le cadre du projet ANR-PNANO « Memoire », nous travaillerons sur la modélisation et la caractérisation des mémoires flash à nano-cristaux de silicium auto-alignés pour les technologies sub 32 nm. La thématique "DiTCI" travaillera sur la conception de mémoires non volatiles en vue de meilleurs rendements avec la mise en place de systèmes auto-corrigés. De plus, il apparaît que les variations « Front-end » deviennent un des éléments à prendre en compte pour garantir la fonctionnalité des circuits à l’instar du « Back-end ». Dans ce sens, il est envisagé de développer un projet (plateforme CIM-PACA) portant sur l’impact du procédé de fabrication sur les délais de propagation (« Time Yield Modeling »). Ce projet devrait associer le L2MP à différents partenaires industriels, à savoir ATMEL, Infineon et Cadence. La thématique "AP" travaillera sur la modélisation des « Moving Bits » pour les applications automobiles (en partenariat avec AMIS) et sur l’optimisation des performances des cellules Flash autorisant un fonctionnement de type EEPROM. Nous travaillerons sur la compréhension des mécanismes de perte de charges et la réduction du stress électrique sur des oxydes de plus en plus fins en vue du développement d’outils d’aide à la conception. Conception de Circuits Intégrés Nous souhaitons dans les années à venir proposer des systèmes de communications intégrés bas coût et à débits élevés pour les bandes de fréquences UWB 3.1-10.6GHz, 2429GHz et 59-66GHz. Ces nouveaux systèmes réclament de nouvelles architectures spécifiques. La mise en œuvre optimale de ces nouvelles structures se traduit par de nouveaux défis pour les concepteurs. Ils devront en particulier respecter les contraintes de faible coût et de faible consommation de puissance pour les fonctions analogiques intégrables : amplification large bande, conversion analogique numérique, génération et modulation de signaux impulsionnels. Nous poursuivrons aussi nos études en vue de développer un système faible coût autour de 2.45GHz et totalement compatible avec la norme IEEE 802.15.4. Concernant le domaine de la RFID-UHF, nous porterons nos efforts dans la recherche de solutions innovantes de récupération d’énergie. Dans ce domaine d’autres efforts seront portés 150 sur la miniaturisation des antennes (tag, étiquettes) ainsi que sur la conception d’antennes larges bandes. L’intégration de systèmes de communication UWB dans la RFID est aussi une perspective de recherche. Nous espérons, pour les applications très faible consommation, pouvoir proposer et valider par la mesure de nouvelles cellules applicables aux cartes à puce et aux systèmes sans contact. Nous souhaitons montrer par la mesure la faisabilité d’un système complet de sécurisation des lignes d’alimentation des cartes à puce. Nous poursuiverons nos travaux sur l’implantation matérielle optimisée d'algorithmes de cryptographie à architectures massivement parallèles dans le but d’augmenter les performances en vitesse ainsi que les résistances aux attaques des systèmes chiffrants. Dans le domaine des imageurs CMOS, la perspective de recherche à long terme consiste à accroître la valeur ajoutée des imageurs en intégrant sur le silicium des fonctionnalités de plus en plus complexes (Smart Sensor). On peut citer par exemple le contrôle automatique de gain (CAG), la détection automatique de contour, l’intégration de primitives de vision 3D, etc. Microcapteurs Les recherches dans le domaine de la détection sélective des gaz connaissent un important développement ces dernières années, pour remplacer les systèmes actuels qui sont basés sur la détection non sélective ou bien sur l’analyse des gaz (dispositifs onéreux et encombrants). Nous nous sommes inscrits dans une démarche basée sur le développement de microsystèmes et d’outils originaux permettant la détection sélective, pour assurer la sécurité des personnes et des procédés à la source. Les solutions que nous avons mises en œuvre s’appuient sur l’utilisation de microcapteurs et multicapteurs intégrés sur silicium pour détecter des gaz liés à l’environnement ou à l’automobile (l'ozone, les NOX, l'ammoniac, les hydrures). Nous poursuivrons ce travail sur trois niveaux : trouver la meilleure architecture des multicapteurs pour une application donnée, déterminer la meilleure procédure de traitement des signaux des capteurs basée sur les analyses multivariables et développer de nouveaux matériaux. Le premier point se poursuivra dans le cadre d’une collaboration avec le LAAS. Le deuxième point passe par le développement de méthodes originales d’acquisition des signaux, aussi bien au niveau de la variation des conductances des capteurs en fonction des gaz détectés, qu’à propos des mesures basées sur les réponses spectrales de bruit des capteurs. La reconnaissance d'odeurs pourrait représenter à moyen terme une des applications possibles pour nos dispositifs. Le dernier point concerne les matériaux sensibles qui jouent un rôle essentiel dans nos MICRO–NANOELECTRONIQUE RAPPORT D’ACTIVITE capteurs. Ainsi, les oxydes comme WO3, CeO2, RuO2 continueront à faire l’objet d’une activité importante d’une partie de l’équipe. Ces matériaux seront mis en œuvre sous forme nanostructurée ou en couches minces, éventuellement modifiées en surface par l’ajout de nanograins métalliques. La mise en œuvre de nouvelles phases catalytiques retiendra particulièrement notre attention. Des nouvelles associations de matériaux pourront servir de base à la réalisation de multicapteurs catalytiques, de capteurs à ondes acoustiques « de volume ou de surface » fonctionnant à haute température. Enfin, depuis cette année, nous avons lancé une nouvelle étude sur les capteurs organiques sur support souple. Ils ont l’avantage de fonctionner à température ambiante, avec la possibilité de réaliser sur un même support l’électronique associée par jet de matière. Composants pour l’opto-électronique et la conversion photovoltaïque Sur la thématique photovoltaïque, nos objectifs concernent les aspects de caractérisation et de modélisation dans un souci d’amélioration des rendements de conversion. Ainsi, nos recherches se focaliseront sur l’étude des cellules solaires silicium à haut rendement (dites cellules de troisième génération), sous forme de cellules tandem, en couplant du silicium cristallin et du silicium nanostructuré ou du germanium pour tirer profit des gammes d’absorption différentes de ces matériaux. Les études initiées sur l’influence des interactions impuretés-défauts sur les propriétés électriques du silicium multicristallin et également sur le silicium d’origine métallurgique purifié par plasma seront poursuivies. L’équipe projette également de faire évoluer les techniques de caractérisation des matériaux dont elle dispose aussi bien pour améliorer la compréhension des processus physiques mis en jeu dans les matériaux cristallins que pour s’intéresser aux nouveaux matériaux qui sont utilisés dans les cellules solaires organiques. L’étude fine des matériaux organiques doit en effet permettre de progresser fortement dans la compréhension des phénomènes de collecte des photons et de transports des charges (excitons). Par ailleurs, il est envisagé de développer des techniques spécifiques de caractérisation basées sur la diffusion de rayons X ou d’ondes optiques pour étudier la morphologie des matériaux organiques et chercher les liens qui existent entre morphologie et propriétés optiques et électriques. L’équipe souhaite également s’intéresser à la structuration nanométrique des matériaux organiques des cellules solaires ou même des électrodes en aluminium ou en ITO de manière à accroître le confinement optique (génération de plasmons de surface) et à améliorer le transport des charges vers les électrodes en créant des chemins de percolation. 2002 - 2006 impuretés métalliques peut être envisagée pour améliorer les couches épitaxiées de ces détecteurs. En ce qui concerne les détecteurs infrarouge pour l’optronique de défense, nous poursuivrons nos travaux sur l’amélioration de leurs performances par l’utilisation de micronano structures de surface (antireflet). L’équipe envisage également des collaborations autour de la protection active des systèmes imageurs à l’aide de matériaux nanostructurés. Signaux et Systèmes Cette nouvelle équipe, bâtie principalement à partir de l’ancienne équipe GESSY (Toulon), mènera principalement des recherches à caractère fondamental associées à des activités de transfert industriel sur les thématiques suivantes : Filtrage adapté stochastique : l’équipe poursuivra ses travaux antérieurs sur la détection et la classification des signaux en les généralisant au cas de signaux non indépendants. Il s’agira de trouver la solution théorique à ce problème, ce qui nécessite de résoudre une équation hautement non linéaire. Notamment une étude de convergence d'un algorithme proposé et testé empiriquement avec succès est nécessaire. Enfin, une étude en estimation des signaux utilisant la même philosophie de maximisation du RSB dans un sous-espace optimal est également en cours. Dans le cas des méthodes de détection, utiliser la connaissance a priori des moments d’ordre 1 et des moments d’ordre 2. Extension aux moments d’ordre supérieur. Trajectographie : Evaluation des performances et mise au point d’algorithmes récursifs pour les systèmes non-linéaires (HMM, filtrage particulaire). Traitement d’antenne : Analyse de l’observabilité en autocalibration d'antenne longue pour des signaux bande étroite. Traitement d’image : Application des techniques de séparation de sources faiblement "retardées" aux images photographiques. Mathématiques pour le signal : Mise au point d’un algorithme direct permettant la zérodiagonalisation d'un ensemble de matrices. Une comparaison avec des algorithmes itératifs existants sera fournie dans des applications de séparation de sources. Des partenariats industriels, dans le cadre de contrats, se poursuivront. En particulier avec le CEA (détection et classification des défauts sur des tuiles des machines de fusion contrôlée du projet ITER), l’ONERA (projet Grandes Antennes), DCN (Extraction de pistes d’azimuts), ST-Microelectronics (circuit de communication RF à 2.45 GHz tout numérique). ν Jean-Luc Autran En optoélectronique, les travaux porteront sur l’étude du SiC pour des applications liées aux détecteurs UV. Par exemple, la création de nanocavités comme centres de diffusion des PROSPECTIVE 151 RAPPORT D’ACTIVITE 2002 - 2006 4. Productions Distinctions Publications • articles et communications publiés dans des revues à comité de lecture • articles publiés et facteurs d’impact des revues • ouvrages, édition scientifique, chapitres invités Communications • conférences invitées • communications publiées dans des comptes-rendus édités • communications orales ou par posters Thèses Habilitations à diriger des recherches Brevets Organisation de congrès 153 RAPPORT D’ACTIVITE 2002 - 2006 Distinctions Autran J.L. Membre Junior de l'Institut Universitaire de France, 2003 Autran J.L. Elected Senior Member, IEEE, September 2004 Autran J.L. Bureau RADECS Award for Outstanding Service (1997-2002), Madrid, September 2004 Charaï A. Chevalier de la Légion d'Honneur, 2005 Escoubas L.- Prix du Jeune Chercheur. Festival des Sciences et des Technologies, Région PACA, Marseille, 2004 Houssa M. Prix Edouard Branly, 2003 Lannoo M. Prix Felix Robin de la Société Française de Physique (SFP), 2005 Mangelinck D. Médaille de bronze du CNRS, 2003 Nihoul G. Nomination dans l'Ordre National de la Légion d'Honneur, 2002 PRODUCTIONS 155 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Articles et communications publiés dans des revues à comité de lecture 2002 Abel M., Robach Y., Porte L.- Stress induced surface structures and reactivity of thin layer Pd/Cu(110) deposits.- Surface Science, vol. 498, p. 244-, 2002 Afanas’ev V.V., Houssa M., Stesmans A., Adriaenssens G.J., Heyns M.M.- Band alignment at the interface of Al2O3 and ZrO2-based insulators with metals and Si.- Journal of Non-Crystalline Solids, vol. 303, p. 69-77, 2002 Aguir K., Lemire C., Lollman D.B.B.- Electrical properties of reactively sputtered WO3 thin films as ozone gas sensor.- Sensors and Actuators B, vol. 4208, p. 1-5, 2002 Barrère J., Chabriel G.- A compact sensor array for blind separation of sources.- IEEE Transactions on Circuits and Systems, Part I, vol. 49, n° 5, p. 565 -574, 2002 Barthélemy H., Fabre A.- A second generation current controlled conveyor with negative intrinsic resistance.- IEEE Transaction on Circuits and Systems I, vol. 49, n° 1, p. 63-65, 2002 Barthélemy H., Meillère S., Kussener E.- CMOS sinusoidal oscillator based on currrent-controled current conveyors.- Electronics Letters, vol. 38, n° 21, p.1254-1256, 2002 Bedoya C., Muller C., Jacob F., Gagou Y., Fremy M-A., Elkaim E.- Magnetic field-induced orientation in Co-doped SrBi2Ta2O9 ferroelectric oxide.- Journal of Physics : Condensed Matter, vol. 14, p. 11849-11857, 2002 Bendahan M., Lauque P., Lambert-Mauriat C., Carchano H., Seguin J.L.- Sputtered thin films of CuBr for ammonia microsensors : morphology, composition and ageing.- Sensors and Actuators B, vol. 84, p. 6-11, 2002 Benielli D., Bergeon N., Jamgotchian H., Billia B., Voge P.- Free growth and instability morphologies in directional melting of alloys.- Physical Review E, vol. 65, p. 051604-, 2002 Bernardini J., Monchoux J.P., Chatain D, Rabkin E.- Liquid metal penetration in metallic polycrystals : new tools for a challenging unsolved problem of materials science.- Journal de Physique IV, n° 12, p. 229-237, 2002 Beszeda I., Imre A.W., Gontier-Moya E.G., Moya F., Beke D.L., Si Ahmed A.- Kinetics of morphological changes in nanoscale metallic films followed by Auger Electron Spectroscopy.Defect and Diffusion Forum, volumes 216-217, p. 269-, 2002 Cavassilas N., Aniel F., Fishman G., Adde R.- Full-band matrix solution of the Boltzmann transport equation and electron impact ionization in GaAs.- Solid State Electronics, vol. 46, no. 4, p. 559566, 2002 Cavassilas N., Autran J. L., Aniel F., Fishman G.- Energy and temperature dependence of electron effective mass in silicon.- Journal of Applied Physics, vol. 92, p. 1431-1433, 2002 Celestini F., Debierre J.M.- Measuring kinetic coefficients by molecular dynamics simulation of zone melting.- Physical Review E, vol. 65, p. 041605-1-7, 2002 Charrier A., A. Coati, T.Argunova, F. Thibaudau, Y. Garreau, R. Pinchaux, I. Forbeaux, J.M. Debever, M. Sauvage-Simkin, and J.M. Themlin.- Solid-state decomposition of silicon carbide for growing ultra-thin heteroepitaxial graphite films.- Journal of Applied Physics, vol. 92, n° 5, p. 2479-, 2002 Croix J., U. Goerlach, C. Hu-Guo, P. Schmitt, C. Colledani and Y. Hu.- Test of APV-DMILL circuit with silicon and MSGC microstrip detectors for CMS.- Nuclear Instruments and Methods in Physics 156 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Research, vol. A484, n° 3, p. 503-514, 2002 Erdelyi Z., Beke D. L., Bernardini J., Girardeaux Ch , Rolland A.- Investigations of diffusion kinetics by Auger electron spectroscopy.- Diffusion and Defect Data. Solid State Data. Part A, Defect and Diffusion Forum, vol. 203-205, p. 131-146, 2002 Erdelyi Z., Girardeaux C., Tokei Zs., Beke D.L., Cserhati Cs., Rolland A.- Investigation of the interplay of nickel dissolution and copper segregation in Ni/Cu(111) system.- Surface Science, vol. 496, n° 1-2, p. 129-140, 2002 Gagou Y., C. Muller, N. Aliouane, E. Elkaim, G. Nihoul, P. Saint-Grégoire.- Structural and electrical properties of the new ferroelectric PbK2LiNb5O15.- Ferroelectrics, vol. 268, p. 417-422, 2002 Garros X., Leroux C., Autran J.L.- An efficient model for accurate C-V characterization of high-k gate dielectrics using a mercury probe.- Electrochemical and Solid-State Letters, vol. 5, n° 3, p. F4-F6, 2002 Girardeaux C., Clugnet G., Erdelyi Z., Nyeki J., Bernardini J., Beke D. L., Rolland A.- How to measure accurately mass transport in thin films by AES.- Surface and Interface Analysis, vol. 34, p. 389-392, 2002 Haldenwang P., Guérin R.- Transverse thermal effects in directional solidification.- Journal of Crystal Growth, vol. 244, p. 108-122, 2002 Hayn R., Pashchenko V.A., Stepanov A., Masuda T. and Uchinokura K.- Magnetic anisotropy of BaCu2Si2O7 : theory and antiferromagnetic resonance.- Physical Review B, vol. 66, p.184414-, 2002 Houssa M., Autran J.L., Stesmans A., Heyns M.M.- Model for interface defect and positive charge generation in ultrathin SiO2/ZrO2 gate dielectric stacks.- Applied Physics Letters, vol. 81, p. 709711, 2002 Jarmar T., Seger J., Ericson F., Mangelinck D., Smith U. and Zhang S.L.- Morphological and phase stability of nickel germanosilicide on Si1-xGex under thermal stress.- Journal of Applied Physics, vol. 92, p. 7193-, 2002 Lambert-Mauriat C., Lauque P., Seguin J.L., Albinet G., Bendahan M., Debierre J.M., Knauth P.Solid state electrolysis in CuBr mixed ionic-electronic conductor thin films : observation and modelling of fractal growth.- ChemPhysChem, vol. 3, n° 1, p. 107-110, 2002 Lauque P., Laugier J.M., Jacolin C., Bendahan M., Lemire C. and Knauth P.- Impedance analysis of CuBr films for ammonia gas detection.- Sensors and Actuators B, vol. 87, p. 431-436, 2002 Lee P.S., Mangelinck D., Pey K.L., Ding J., Chi D.Z., Dai J.Y., See A.- Enhanced stability of Ni monosilicide on MOSFETs poly-Si gate stack.- Microelectronic Engineering, vol. 60, p. 171-, 2002 Lee P.S., Pey K.L., Mangelinck D., Ding J., Chi D. Z., Dai J.Y., Chan L.- Phase and layer stability of Ni- and Ni(Pt)- silicides on narrow poly-Si lines.- Journal of the Electrochemical Society, vol. 149, p. G331-, 2002 Lee P.S., Pey K.L., Mangelinck D., Ding J., Osipowicz T., See A.- Layer inversion of Ni(Pt)Si on mixed phase Si films.- Electrochemical and Solid State Letters, vol. 5, p. G15-, 2002 Lemire C., Lollman D.B.B., Al Mohammad A., Gillet E., Aguir K.- Reactive R.F. magnetron sputtering deposition of WO3 thin films.- Sensors and Actuators B, vol. 4215, p. 1-6, 2002 Lesueur C., Chatain D., Bergman C., Gas P., Baque F.- Analysis of the stability of native oxide films at liquid/lead metal interfaces.- Journal de Physique IV, n° 12, p. 155-, 2002 Liebault J., Moya-Siesse D., Bernardini J., Moya G.- Charge trapping characterisation at the interface thin oxide layer/non-conductive substrate.- Surface and Interface Analysis, vol. 34, p. 668-671, 2002 PRODUCTIONS 157 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Lombardo P., Albinet G.- Transfer of spectral weight in the degenerate Hubbard model in infinite dimension.- Physical Review B, vol. 65, p. 115110-, 2002 Masson P., Autran J.L., Munteanu D.- DYNAMOS : a numerical MOSFET model including quantummechanical and near-interface trap transient effects.- Solid State Electronics, vol. 46, p. 10511059, 2002 Messina P., Dmitriev A., Lin N., Spillmann H., Abel M., Barth J.V., Kern K.- Direct observation of chiral metal-organic complexes assembled on a Cu(100) surface.- Journal of the American Chemical Society, n° 124, p. 14000-, 2002 Militaru L., Masson P., Geguan G.- Three level charge pumping on a single interface trap.- IEEE Electron Device Letters, vol. 23, no. 2, p. 94-96, 2002 Munteanu D., G. Le Carval, G. Guegan.- Impact of technological parameters on non-stationary transport in realistic 50nm MOS technology.- Solid State Electronics, vol. 46, p. 1045-1050, 2002 Munteanu D., G. Le Carval, G. Guegan.- Investigation of non-stationary transport and quantum effects in realistic deep submicron partially-depleted SOI technology.- Electrochemical and Solid State Letters, vol. 5, n° 5, p. G29-G31, 2002 Munteanu D., Ionescu A.M.- Modeling of drain current overshoot and recombination lifetime extraction in floating-body submicron SOI MOSFETs.- IEEE Transactions on Electron Devices, vol. 49, p. 1198-1205, 2002 Munteanu D., Le Carval G.- Assessment of anomalous behavior in hydrodynamic simulation of CMOS bulk and partially-depleted SOI devices.- Journal of the Electrochemical Society, vol. 149, p. G574-G580, 2002 Niquet Y.M., Delerue C., Allan G., Lannoo M.- Interpretation and theory of tunneling experiments on single nanostructure.- Physical Review B, vol. 65, p. 165334-, 2002 Ouslimani A., Gaubert J., Hafdallah H., Birafane A., Pouvil P., Leier H.- Direct determination of linear HBT model parameters using nine analytical expression bloks.- IEEE Transactions on Microwaves Theory and Techniques, vol. 50, n° 1, 2002 Paret V., Boher P., Geyl R., Vidal B., Putero-Vuaroqueaux M., Quesnel E., Robic J.Y.Characterization of optics and masks for EUV lithography.- Microelectronic Engineering, vol. 61-62, p.145-155, 2002 Patrone L., S. Palacin, J.-P. Bourgoin, J. Lagoute, T. Zambelli et S. Gauthier.- Direct comparison of the electronic coupling efficiency of Sulfur and Selenium anchoring groups for molecules adsorbed onto gold electrodes.- Chemical Physics, vol. 281, p. 325-332, 2002 Putero-Vuaroqueaux M., Faïk H. and Vidal B.- A comparative study of the interfacial roughness correlation and propagation in Mo/Si multilayers deposited using RF-magnetron sputtering on silicon, ule and zerodur substrates.- Journal of Physics : Condensed Matter, vol.14, p.8955-8968, 2002 Rahajandraibe W., Dufaza C., Auvergne D., Cialdella B., Majoux B., Chowdhury V.- Bandgap reference optimisation from on-chip EG, XTI value extraction.- International Journal of Analog Integrated Circuits and Signal Processing, vol. 33, n° 2, p. 85-94, 2002 Sanz N., Lomello-Taffin M., Valmalette J.C., Isa M., Galez Ph.- Preparation and characterization of Au/ZrO2 nanoparticles obtained by oxidation of Zr-Au alloy.- Materials Science & Engineering C, vol. 19, n° 1-2, p. 79-83, 2002 Seger J., Zhang S.L., Mangelinck D. and Radamson H. H.- Increased nucleation temperature of NiSi2 in the reaction of Ni thin films with Si1-xGex.- Applied Physics Letters, vol. 81, p. 1978-, 2002 Seguin J.L., Lambert-Mauriat C., Aguir K., Bendahan M., Jacolin C., Lauque P.- Degradation during sputter deposition of solid electrolyte thin films for microsystems.- Thin Solid Films, vol. 422, p. 158 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 87-91, 2002 Stesmans A., Afanas’ev V.V., Houssa M.- Electron spin resonance analysis of interfacial Si dangling bond type defects in stack of ultrathin SiO2, Al2O3, and ZrO2 layers on (100)Si.- Journal of NonCrystalline Solids, vol. 303, p. 162-166, 2002 Thommerel E., Valmalette J.C., Musso J., Villain S., Gavarri J.R., Spada D.- Relations between microstructure, electrical percolation and corrosion in metal – insulator composites.- Materials Science & Engineering A, vol. 328, n° 1-2, p. 67-79, 2002 Valmalette J.C., Isa M.- Size effects on the stabilization of ultrafine zirconia nanoparticles.Chemistry of Materials, vol. 14, n° 12 , p. 5098-5102, 2002 Valmalette J.C., Isa M., Passard M., Lomello-Tafin M.- Ultra-fast nanostructuring oxidation of crystallized intermetallic ZrAu at 25°C.- Chemistry of Materials, vol. 14, n° 5, p. 2048-2054, 2002 Villain S., Ch. Leroux, J. Musso, J.R. Gavarri, A. Kopia, M. Klimczak, J. Kusinski.- Nanoparticles and thin films of cerium dioxides: relations between elaboration process and microstructure.- Journal of Metastable and Nanocrystalline Materials, n° 12, p. 59-69, 2002 Villain S., Pischedda M.H., Nigrelli E., Godiart F., Gavarri J.R.- Degradation mechanism of composite electrodes subjected to alternating potentials : modelling and protection.- Corrosion Science, vol. 44, n° 4, p.657-673, 2002 Xerri B., Cavassilas J.F., Borloz B.- Passive Trajectography in underwater acoustics.- Signal Processing, vol. 82, p. 1067-1085, 2002 Xu Z., Houssa M., De Gendt S., Heyns M.M.- Polarity effect on the temperature dependence of leakage current through HfO2/SiO2 gate dielectric stacks.- Applied Physics Letters, vol. 80, p. 1975-1978, 2002 Xu Z., Houssa M., Naili M., Carter R., De Gendt S., Heyns M.M.- Constant voltage stress induced degradation in HfO2/SiO2 gate dielectric stacks.- Journal of Applied Physics, vol. 91, p. 1012710129, 2002 Zhao C., Richard O., Bender H., Houssa M., Carter R., De Gendt S., Heyns M.M., Young E., Tsai W., Roebben G., Van Der Biest O., Haukka S.- Thermostability of the amorphous structure of zirconium aluminate high-k layers.- Journal of Non-Crystalline Solids, vol. 303, p. 144-149, 2002 Auriac N., Martinuzzi S.- Trap profiling at nanocavity bands in silicon wafers by means of capacitance-voltage measurements, .- J. of Physics, Condensed Matter., 14, 13087-94 (2002) Barakel D., Périchaud I., Ulyashin A., Martinuzzi S.- N-P junction formation in p-type silicon by H ion implantation .- Solar Energy Material and Solar Cells 72, 285 (2002) Bechade J-L., Brenner R., Goudeau P., Gailhanou M.- Influence of temperature on X-ray diffraction analysis of ZrO2 oxide layers formed on zirconium based alloys using synchrotron radiation.- Mat. Science Forum, 404-407, 803-8 (2002) Belhi R., Mliki N., Jomni S., Ayadi M., Abdelmoula K., Gergaud P., Clugnet G., Charai A.- The correlation between mechanical stress and magnetic properties of cobalt ultra thin films.- Thin Solid Films, 414, 119-122 (2002) Bennour F., Rogez J., Mathieu J. C.- Enthalpie de formation de l'hydrate Na2SiO3 5H2O .- Ann. Chim. Sc. Mat. 27, 107-114 (2002) Bernard F., Paris S., Vrel D., Gailhanou M., Gachon J.C., Gaffet E.- Time-resolved XRD experiments adapted to SHS reactions: autoreview.- Intern. Journ. of Self-Propagating HighTemperature Synthesis, 11, no.2, 181-90 (2002) Bigault T., Bocquet F., Labat S., Thomas O., Renevier H.- Chemically diffuse interface in (111) AuNi multilayers: an anomalous X-Ray diffraction analysis.- Appl. Surf. Science 188, 110-114 (2002) PRODUCTIONS 159 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Chamard V., Metzger T. H., Bellet-Amalric E., Daudin B., Mariette H.- Investigation of GaN quantum dot stacking in multilayers with x-ray grazing incidence techniques.- Mat. Sci. and Engin. B 93, 24 (2002) Chamard V., Metzger T. H., Ferrero C., Bellet-Amalric E., Daudin B., Mariette H., Mula G., Structure and ordering of GaN quantum dot multilayer investigated by x-ray grazing incidence techniques,.- Physica E 13, 1115 (2002) Chamard V., Setzu S., Romestain R.- Light assisted formation of porous silicon investigated by xray diffraction and reflectivity,.- Appl. Surf. Science 191, 319 (2002) Charai A., Kutcherinenko I., Penisson J. M., Pontikis V., Priester L., Wolski K., Vystavel T.- Electron microscopy and Auger spectroscopy study of the wetting of the grain boundaries in the systems Mo-Pb, Mo-Sn, Mo-Ni and Ni-Pb.- J. de Physique IV, 12, 277-287 (2002) Charrin L., Becquart-Gallissian A., Combe A., Gonzales G., Charai A.- Key experimental parameters for internal-band formation: relationship between stress and oxidation kinetics in silver-magnesium alloys.- Oxidation of metals 57, 81-98 (2002) Chocyk D., Proszynsky A., Gladyszevski G. , Labat S., Gergaud P., Thomas O.- Determination of stress in Au/Ni multilayers by symmetric and asymmetric X-ray diffraction.- Optica Aplicata 32, 333-337 (2002) Chocyk D., Proszynki A., Gladyszewski G., Labat S., Gergaud P., Thomas O.- Stresses in multilayered systems: test of the sin2 method .- Adv. Eng. Materials 4, 557 (2002) Coulet M.-V., Céolin R., Bellissent R., Beuneu B., Bergman C., Ambroise J.-P., Bichara C.- A new experimental method for studying phase separation through neutron diffraction : the case of Asrich liquid alloys in the As-S system..- J. Non Cryst. Solids 312-314, 404-8 (2002) Ersen O., Pierron-Bohnes V., Ulhaq-Bouillet C., Pirri C., Tuilier M-H., Berling D., Bertoncini P., Gailhanou M., Thiaudiere D.- Epitaxy stabilised CaF2-type ternary Col-xFe xSi2 silicides on Si(111): DAFS and HRTEM measurements.- Applied Surface Science, 188, no.1-2, 146-50(2002) Ersen O., Ulhaq-Bouillet C., Pierron-Bohnes V., Tuilier M-H., Berling D., Bertoncini P., Pirri C., Gailhanou M., Thiaudiere D.- Evidence of a ternary Co1-xFexSi2 phase with a CaF2-type structure: High-resolution transmission electron microscopy and diffraction anomalous fine structure study.Appl. Phys. Letters, 81, no.13,. 2346-8(2002) Gauthier V., Bernard F., Gaffet E., Vrel D., Gailhanou M., Larpin J.P.- Investigations of the formation mechanism of nanostructured NbAl 3 via MASHS reaction. Intermetallics, 10, no.4, 37789 (2002) Gavillet J., Loiseau A., Ducastelle F., Thair S., Bernier P., Stéphan O., Thibault J., Charlier J.-C. .Microscopic mechanisms for the catalyst assisted growth of single wall carbon nanotubes .Carbon 40 , 1649-1663 (2002) Hamard C., Lancin M., Marhic C., Pena O.- Intergrowth between binary and ternary phases in Chevrel phase compounds RE-MO6Se8 containing heavy rare earth elements.- Mat. Sc.i Eng. A 333, 250-261 (2002) Hennet L., Thiaudiere D., Gailhanou M., Landron C., Coutures J-P., Price D.L.- Fast X-ray scattering measurements on molten alumina using a 120° curved position sensitive detector.- Rev. of Scient. Instruments, 73, no.1, 124-9 (2002) Izard V., Record M.C., Tedenac J.C.- Mechanical alloying of a new promising thermoelectric material, Sb3Zn4 .- Journ. of Alloys and Compounds, 345, 257-264 (2002) Labat S., Guichet C., Thomas O., Gilles B., Marty A.- Microstructural analysis of Au/Ni multilayers interfaces by SAXS and STM.- Appl. Surf. Science, 188, no.1-2, 182-7 (2002) Lazar M., Raynaud C., Planson D., Locatelli M.L., Ottaviani L., Isoird K., Chante J.P., Nipoti R., Poggi A., Cardinali G.- A comparative study of high temperature Aluminium post-implantation 160 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 annealing in 6H and 4H-SiC, non-uniformity temperature effects.- Mater. Sc. For. 389-393, 827 (2002) Martinuzzi S., Périchaud I., Durand F., .- Multicrystalline silicon prepared by electromagnetically continuous pulling.- Solar Energy Material and Solar Cells 72 101 (2002) Matko I., Gaidi M., Chenevier B., Charai A., Saikaly W., Labeau M.Pt doping of SnO2 thin films.- J. of the Electrochem. Soc., 149, 153-158 (2002) Nicolas M., Deschamps A.- Precipitate microstructure in the HAZ of Al-Zn-Mg MIG-welds, evolution during post-welding heat treatments.- Materials Science Forum 396-402, 1561. (2002) Ottaviani L., Lazar M., Locatelli M.L., Chante J.P., Heera V., Skorupa W., Voelskow M., Torchio P.Annealing Studies of Al-implanted 6H-SiC in an induction furnace.- Mater. Sc. Eng. B. 91/92, 325328 (2002) Ottaviani L., Lazar M., Locatelli M.L., Planson D., Chante J.P., Dubois C.- Characteristics of Aluminum-Implanted 6H-SiC Samples After Different Thermal Treatments.- Mater. Sc. Eng. B. 90, 301-308 (2002) Palais O., Yakimov E.B., Martinuzzi S.- Minority carrier lifetime scan maps applied to iron concentration mapping in silicon wafers.- Mater. Sc. Eng. B- 91-92, 216-19 (2002) Panicaud B., Renault P.O., Grosseau-Poussard J.L., Dinhut J.F., Thiaudiere D., Gailhanou M. Measurement of stress in phosphated-iron oxide layers by in-situ diffraction of synchrotron radiation.- Mat. Science Forum, 404-407, 809-14(2002) Parmentier R., Lemarchand F., Cathelino M., Lesquine M., Amra C., Labat S., Bozzo S., Bocquet F., Charai A., Thomas O.- Piezoelectric tantalum pentoxide studied for optical tunable application.Applied Optic 41, 3270-3276 (2002) Perichaud I., Yakimov E.B., Martinuzzi S., Dubois C.- Gold gettering by H+ or He ++ ion implantation induced cavities and defects in Cz silicon wafers.- Sol. Stat. Phenom. 82-84, 297-302 (2002) Pichaud B., Burle N., Putero-Vuaroqueaux M., Curtil C.- Low misfit systems as tools for understanding dislocation relaxation mechanisms in semiconducting heteroepitaxial films.- J. of Phys. Cond. Matter. 14, 13255 (2002) Priester L., Décamps B., Poulat S., Thibault J.- Interfacial defects and plastic deformation.- Ann. Chim. Sci. Mat. 27 , S77-88 (2002) Regula G., Elbouayadi R., Pichaud B., Ntsoenzok E.- Nickel gettering in silicon: role of oxygen.Sol. Stat. Phenom. 82-84, 355-360 (2002) Rivero C., Bostrom O., Gergaud P., Thomas O., Boivin P., Mazuelas A.- In situ study of strain evolution during thin film Ti/Al(Si,Cu) reaction using synchrotron radiation .- Microelectronic Engineering 64, 81 (2002) Rogez J., Garnier A., Knauth P.- Solution calorimetric investigation of AgCl-AgI ionic conductor composites at 298 K : observation of metastable AgI modifications .- J. Phys. Chem. of Solids 63, 9-14 (2002) Roussel J.M., Bellon P.- Self-diffusion and solute diffusion in alloys under irradiation: Influence of ballistic jumps.- Phys. Rev. B 65, 144107, (2002) Schmidt U., Eisenschmidt C., Weiss M., Zahra C.Y., Zahra A.-M.- Decomposition of La- and Dy-rich amorphous alloys.- J. Non-Cryst. Solids 297, 1-12 (2002) Schmidt U., Zahra A.-M.- Structure evolution of amorphous AlLnT alloys.- Recent Res. Devel. Mat. Sci. 3, 401-425 (2002) PRODUCTIONS 161 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Schülli T., Sztucki M., Chamard V., Metzger T. H., Schuh D.- Anomalous x-ray diffraction on InAs/GaAs quantum dot systems,.- Appl. Phys. Lett. 81, 448 (2002) Texier M., Proult A., Bonneville J., Rabier J., Baluc N., Cordier P.- Microstructures of icosahedral AlPdMn quasicrystals deformed at room temperature in an anisotropic confining medium.Phil.Mag. Lett., 82, 659 (2002) Thomas O., Müller P., Labat S., Gergaud P.- Influence of segregation on the measurement of stress in thin films.- J. Appl. Phys. 91, 2951 (2002) Ventura L., Pichaud B., Lanois F.- Impact of a cooling process on the dopant activity of platinum in silicon.- Sol. Stat. Phenom. 82-84, 412-422 (2002) Vrel D., Girodon-Boulandet N., Paris S., Mazue J.F., Couqueberg E., Gailhanou M., Thiaudiere D., Gaffet E., Bernard F.- A new experimental setup for the time resolved X-ray diffraction study of self-propagating high-temperature synthesis.- Rev. of Scient. Instruments, 73, no.2, 422-8 (2002) Zahra A.-M., Zahra C.Y.- Comment on "Positron lifetime study of an Al-1.7 at.% Mg-1.1 at.% Cu alloy".- Phil. Mag. Lett. 82, 9-12 (2002) Drouard E., Huguet-Chantôme P., Escoubas L., Flory F.- Dn/dT measurements performed using guided waves and their application to the temperature sensitivity of WDM filters.- Applied Optics, vol. 41, n° 16, p. 3132-3136, 2002 Escoubas L., Drouard E., Flory F.- Theoretical study of amplitude and phase filtering of guided waves.- Applied Optics, vol. 41, n° 16, p. 3084-3091, 2002 Flory F., Escoubas L., Lazaridès B.- Artificial anisotropy and polarizing filters.- Applied Optics, vol. 41, n° 16, p. 3332-3335, 2002 Huguet-Chantôme P., Escoubas L., Flory F.- Guided-wave technique for the measurement of dielectric thin-film materials thermal properties.- Applied Optics, vol. 41, p. 3127-3131, 2002 2003 Abel M., Dimitriev A., Fasel R., Lin N., Barth J.V., Kern K.- Scanning tunneling microscopy and xray photoelectron diffraction investigation of C_60 films on Cu(100).- Physical Review B, vol. 67, p. 245407-, 2003 Ananou B., Regnier S., Ksari Y., Marfaing J., Stepanov A., Touchard A., Rochette Y.- Detection of diluted marine tertiary tephra by electron spin resonance and magnetic measurements.Geophysical Journal International, vol. 155, n° 2, p. 341-349, 2003 Autran J.L. and Munteanu D.- Tunneling component of the ballistic current in ultimate double-gate devices.- Electrochemical and Solid-State Letters, vol. 6, p. G95-G97, 2003 Autran J.L., Munteanu D., Dinescu R. and Houssa M.- Stretch-out of high-permittivity MOS capacitance voltage curves resulting from a lateral non-uniform oxide charge distribution.- Journal of Non-Crystalline Solids, vol. 322, n° 1-3, p. 219-224, 2003 Autran J.L., Munteanu D.- Les architectures innovantes sur silicium mince : un second souffle pour la loi de Moore ? Revue de l’Electricité et de l’Electronique, n° 8, p. 21-31, 2003, Badèche T., Gagou Y., Roucau C., Frémy M.A., Mezzane D., Saint-Grégoire P.- HREM Study of the room temperature phase of PbK2LiNb5O15.- Ferroelectrics, vol. 290, p. 83-90, 2003 Barthélemy H.- Impedance projection based transconductance amplifier.- Electronics Letters, vol. 39, n° 14, p. 1027-1028, 2003 Barvinschi F., Stelian C., Delannoy Y., Mangelinck-Noël N., Duffar T.- Modeling the multicrystalline silicon ingot solidification process in a vertical square furnace.- Journal of Optoelectronics and Advanced Materials, vol. 5, n° 1, p. 293-300, 2003 162 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Bendahan M., Lauque P., Seguin J.L., Aguir K., Knauth P.- Development of an ammonia gas sensor.- Sensors and Actuators B, vol. 95, p. 170-176, 2003 Bergman C., Bichara C., Gaspard J.P., Tsuchiya Y.- Experimental investigation of the water-like density anomaly in liquid Ge15Te85 eutectic alloy.- Physical Review B, vol. 67, p. 104202-, 2003 Bernardini J., Girardeaux C., Rolland A. and Beke D.L.- Effect of grain boundary segregation and migration on diffusion profiles : analysis and experiments. Interface Science, vol. 11, p. 33-40, 2003 Bernardini J., Lexcellent C., Daroczi L., Beke D.L.- Ni diffusion in near equiatomic Ni-Ti and Ni-Ti (6Cu) alloys.- Philosophical Magazine, vol. 83, p. 329-338, 2003 Bescond M., Lannoo M., Goguenheim D. and Autran J.L.- Towards a full microscopic approach to the modeling of transistors with nanometer dimensions.- Journal of Non-Crystalline Solids, vol. 322, n° 1-3, p. 160-167, 2003 Beszeda I. , Imre A.W. , Gontier-Moya E.G., Moya F., Beke D.L., Si Ahmed A.- Kinetics of morphological changes in nanoscale metallic films followed by Auger Electron.- Diffusion, Segragation and Stresses in Materials, Defect and Diffusion Forum, vol. 216-217, p. 269-274 , 2003 Beszeda I., Gontier-Moya E. G., Beke D. L.- Investigation of mass transfer surface self diffusion on palladium.- Surface Science, vol. 547 p.229-238, 2003 Beszeda I., Szabo I.A., Gontier-Moya E.G.- Auger Electron Spectroscopy determination of surface self-diffusion coefficients from growth of voids in thin deposited films.- Applied Surface Science, vol. 212-213, p. 787-791, 2003 Bravaix A., Goguenheim D., Revil ., Rubaldo L.- Efficiency of interface trap generation under hole injection in 2.1nm thick gate-oxide P-MOSFET's. – Journal of Non-Crystalline Solids, vol. 322, p.139-146, 2003 Bravaix A., Trapes C., Goguenheim D., Revil N., Vincent E.- Carrier injection efficiency for the reliability study of 3.5-1.2nm thick gate-oxide CMOS technologies.- Microelectronic Reliability, vol. 43, p. 1241-1246, 2003 Canet P., Bouchakour R., Lalande F., Mirabel J.M.- EEPROM cell design : paradoxical choice of the coupling ratio.- Journal of Non-Crystalline Solids, vol. 322, p. 246-249, 2003 Casadei B., Husson D., Le Normand J.P., Cunin B., Hu Y.- Caractérisation d’une caméra rapide CMOS pour la détection d’impulsions lumineuses brèves.- Revue d’Electricité et d’Electronique, p. 33-38, 2003 Casadei B., Le Normand J.P., Cunin B., Hu Y.- Design and characterisation of fast CMOS multiple linear array For nanosecond light pulses detection.- IEEE Transactions on Instrumentation and Measurement, vol. 52, n° 6, p. 1892-1897, 2003 Choukroun J., Richard J.L., Stepanov A.- Electron paramagnetic resonance in weakly anisotropic Heisenberg magnets with a symmetric anisotropy.- Physical Review B, vol. 68, n° 14, p. 144415-, 2003 Daré A.M., Hayn R. and Richard J.L.- Orbital and spin exchange in LiNiO2.- Europhysics Letters, vol. 61, p. 803-, 2003 Debierre J.M., Karma A., Celestini F., Guérin R.- Phase-field approach for faceted solidification.Physical Review E, vol. 68, p. 041604-1-13, 2003 Decossas S., Patrone L., Bonnot A.M., Comin F., Derivaz M., Barski A. , Chevrier J.Nanomanipulation by atomic force microscopy of carbon nanotubes on a nanostructured surface.Surface Science, vol. 543, p. 57-62, 2003 PRODUCTIONS 163 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Delerue C., Allan G., Lannoo M.- Dimensionality-dependent self-energy corrections and exchangecorrelation potential in semiconductor nanostructures.- Physical Review Letters, vol. 90, n° 7, p. 076803-, 2003 Delerue C., Lannoo M., Allan G.- Concept of dielectric constant for nanosized systems.- Physical Review B, vol. 68, n° 11, p. 115411-, 2003 Dorbolo S., Ausloo M., Vandevalle N., Houssa M.- Aging process of electrical contacts in granular matter.- Journal of Applied Physics, vol. 94, n° 12, p. 7835-7838, 2003 Drechsler S.L., Malek J., Hayn R., Knupfer M., Moskvin A.S., Fink J.- Low-energy excitations in an undoped cuprate-description beyond the standard pdsigma-model ? - International Journal of Modern Physics B, vol. 17, p. 3324-, 2003 Egry I., Herlach D., Kolbe M., Ratke L., Reutzel S., Perrin C., Chatain D.- Surface tension, phase separation, and solidification of undercooled Cobalt-Copper alloys.- Advanced Engineering Materials, vol. 5, p. 819-, 2003 Gas P.- François d’Heurle : Microelectronics ands basic research in materials science.Microelectronics Engineering, vol. 70, p. 142-, 2003 Gas P., Girardeaux C., Mangelinck D., Portavoce A.- Reaction and diffusion at interfaces of micro and nanostructured materials.- Material Science and Engineering B, vol. 101, p. 43-, 2003 Gillet E., Lemire C., Gillet M.- Structural and electronic features of metal-oxide interfaces.- Key Engineering Materials, vol 253, p.1-16, Trans. Tech. Publications, Switzerland, 2003 Gillet M., Lemire C., Gillet E.- Influence of the surface structure on the growth of a metallic deposit on oxide Au / WO3.- Engineering Materials, vol. 253, p. 103-, 2003 Gillet M., Lemire C., Gillet E., Aguir K.- The role of surface oxygen vacancies upon WO3 conductivity.- Surface Science, vol. 532, p. 519-525, 2003 Gillet M., Masek K., Lemire C.- Oxidation of tungsten nanoclusters.- Thin Solid Films, vol. 444, p. 9-, 2003 Goguenheim D., Trapes C., Bravaix A.- Comparison of degradation modes in 1.2-2.1 nm thick oxides submitted to uniform and hot carrier injections in NMOSFETS.- Journal of Non-Crystalline Solids, vol. 322, p.183-190, 2003 Hammi H., Musso J.A., M'Nif A., Rokbani R.- Solubility phase diagrams coupled to computer science (DPAO). Part II : Applied to isothermal evaporation of Tunisian natural brines.- CalphadComputer Coupling of Phase Diagrams and Thermochemistry, vol. 27, n° 1, p. 71-77, 2003 Houssa M., Autran J.L., Heyns M.M., Stesmans A.- Model for defect generation at the (1 0 0)Si/SiO2 interface during electron injection in MOS structures.- Applied Surface Science, vol. 212213, p. 749-752, 2003 Houssa M., Bizzari C., Autran J.L.- Simulation of threshold voltage instabilities in HfySiOx and SiO2/HfySiOx-based field-effect transistors.- Applied Physics Letters, vol. 83, p. 5065-5067, 2003 Houssa M., Parthasarathy C., Espreux N., Autran J.L., Revil N.- Impact of nitrogen on negative bias temperature instability in p-channel MOSFETs.- Electrochemical and Solid-State Letters, vol. 6, p. G146-G148, 2003 Houssa M., Parthasarathy C., Espreux N., Revil N. and Autran J.L.- Model for negative bias temperature instability in p-MOSFETs with ultrathin oxynitride layers.- Journal of Non-Crystalline Solids, vol. 322, n° 1-3, p. 100-104, 2003 Katan C., Rabiller P., Lecomte C., Guezo M., Oison V., Souhassou M.- Numerical computation of critical properties and atomic basins from three-dimensional grid electron densities.- Journal of Applied Crystallography A, vol. 36, p. 65-73, 2003 164 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Klimczak-Chmielowska M., Chmielowski R., Kopia Z., Kusinski J., Leroux C., Villain S., Saiztek S., Gavarri J.R.- The influence of copper on microstructure and catalytic properties of CeO2 thin films deposited by pulsed laser deposition.- High Temperature Material Processes, vol. 7, n° 3, p. 333342, 2003 Kovacevic Z., Plakida N.M., Hayn R.- Resonant states in high temperatures superconductors with impurities.- Theoretical and Mathematical Physics, vol. 136, p. 1155-, 2003 Kuzian R.O., Hayn R., Barabanov A.F.- Dispersion of the dielectric function of a charge-transfer insulator.- Physical Review B, vol. 68, p. 195106-, 2003 Kuzian R.O., Hayn R., Richter J.- Recursion method and one-hole spectral function of the Majumdar-Ghosh model.- European Physical Journal B, vol. 35, p. 21-, 2003 Laffont R., Masson P., Bernardini S., Bouchakour R., Mirabel J.M.- A new floating compact model applied to Flash memory cell.- Journal of Non-Crystalline Solids, vol. 322, n° 1-3, p. 250-255, 2003 Lannoo M., Delerue C., Allan G., Niquet Y.M.- Confinement effects and tunnelling through quantum dots.- Philosophical Transactions of the Royal Society of London A, vol. 361, n° 1803, p. 259-272, 2003 Lee P.S., Pey K.L., Mangelinck D., Ding J., Chan L.- In situ XRD analysis of Ni(Pt)/Si(100) reactions in low temperature regime < 400 degrees C.- Solid State Communications, vol. 128, n° 9-10, p. 325-328, 2003 Léonard S., Madigou V., Villain S., Nigrelli E. and Nihoul G.- Characterisation of thin films of the ferroelectric material SrBi2Ta2O9 obtained by sol-gel methods on Sr2RuO4 (001) single crystal substrate.- Ferroelectrics, vol. 288, p. 1-9, 2003 Liebault J., Zarbout K., Moya G., Kallel A.- Advanced measurement techniques of space- charge induced by an electron beam irradiation in thin dielectric layers.- Journal of Non-Crystalline Solids, vol.322, p. 213-218, 2003 Liebault J., Zarbout K., Moya-Siesse D., Bernardini J., Moya G.- New technique to characterise thin oxide films under electronic irradiation.- Applied Surface Science, vol. 212-213, p. 809-814, 2003 Lombardo P., Avignon M.- Disordered local moments formation in high-dimensional strongly correlated materials.- Physica B, vol. 337, p. 186-192, 2003 Mangelinck D., Gas P., T. Badeche T., Taing E., Nemouchi F., Perrin-Pellegrino C., Niel S., Mirabel J.-M., Farez L., Albarede P.H.- Formation of C49-TiSi2 in flash memories : a nucleation controlled phenomenon ? - Microelectronics Engineering, vol. 70, p. 220-, 2003 Maurel C., Coratger R., Ajustron F., Beauvillain J., Gerard P.- Electrical characteristics of metal/semiconductor nanocontacts using light emission in a scanning tunneling microscope.Journal of Applied Physics, vol. 94, n° 3, p. 1979-1982, 2003 Maurel C., Coratger R., Ajustron F., Seine G., Pechou R., Beauvillain J.- Photon emission from STM of granular gold in UHV : comparison with air and study of spectra shifting with tip position.European Physical Journal - Applied Physics, vol. 21, n° 2, p. 121-126, 2003 Maurel C., Coratger R., Ajustron F., Seine G., Pechou R., Beauvillain J.- Effect of multiple tips on light emission induced by STM from gold nanostructures.- Surface Science, vol. 529, n° 3,p. 359364, 2003 Moskvin A.S., Malek J., Knupfer M., Neudert R., Fink J., Hayn R., Drechsler S.-L., Motoyama N., Eisaki H., Uchida S.- Evidence for two types of low-energy charge transfer excitations in Sr2CuO3.- Physical Review Letters, vol. 91, p. 037001-, 2003 Moya G., Kansy J., Si Ahmed A., Liebault J., Moya F., Goeuriot D.- Positron lifetime measurements in sintered alumina.- Physica Status Solidi (a), vol.198, n°1, p.215-223, 2003 PRODUCTIONS 165 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Muller C.- Diffraction des neutrons : principe, dispositifs expérimentaux et applications.- in "Ecole thématique de la Société Française de la Neutronique : Neutrons et Matériaux", Journal de Physique IV, vol. 103, p. 101–132, 2003 Muller C., Jacob F., Gagou Y., Elkaïm E.- Cation disorder, microstructure and dielectric response of ferroelectric SBT ceramics.- Journal of Applied Crystallography, vol. 36, n° 3, p. 880–889, 2003 Munteanu D. and Autran J.L.- Two-dimensional modeling of quantum ballistic transport in ultimate double-gate SOI devices.- Solid-State Electronics, vol. 47, n° 7, p. 1219-1225, 2003 Munteanu D., Autran J.L., Decarre E. and Dinescu R.- Modeling of quantum ballistic transport in double-gate devices with ultra-thin oxides.- Journal of Non-Crystalline Solids, vol. 322, n° 1-3, p. 206-212, 2003 Musso J.A.- Solubility phase diagrams coupled to computer science (DPAO). Part I : Theory of the sequential representation.- Calphad-Computer Coupling of Phase Diagrams and Thermochemistry, vol. 27, n° 1, p. 65-69, 2003 Nguyen Thi H., Drevet B., Debierre J.M., Camel D., Dabo Y., Billia B.- Preparation of the initial solid – liquid interface and melt in directional solidification.- Journal of Crystal Growth, vol. 253, p. 539, 2003 Nguyen Thi H., Jamgotchian H., Gastaldi J., Härtwig J., Schenk T., Klein H., Billia B., Baruchel J., Dabo Y.- Preliminary in situ and real time study of directional solidification of metallic alloys by Xray imaging techniques.- Journal of Physics D : Applied Physics, vol. 36, p.83-86, 2003 Nyéki J., Erdélyi G., Lograsso TA., Schlagel DL., Beke D.L.- Ni volume diffusion in Ni2MnGa.Intermetallics, vol. 11, p. 1075-1077, 2003 Nyeki J., Girardeaux C, Erdelyi G., Rolland A, Bernardini J.- Equilibrium surface segregation enthalpy of Ge in concentrated amorphous SiGe alloys.- Applied Surface Science, vol. 212-213, p. 244-248, 2003 Oison V., Katan C., Rabiller P., Souhassou M., Koenig C.- Neutral-ionic phase transition : a thorough ab initio study of TTF-CA.- Physical Review B, vol. 67, n° 3, p. 035120-, 2003 Oualla M., Zegzouti A., Elaatmani M., Daoud M., Mezzane D., Gagou Y., Saint-Grégoire P.- New gadolinium based ferroelectric phases derived from the tetragonal tungsten bronze (TTB).Ferroelectrics, vol. 291, p. 133-139, 2003 Oughaddou H., Léandri C., Aufray B., Girardeaux C., Bernardini J., Lelay G., Bibérian J.P., Barrett N.- Growth and dissolution kinetics of Au/Pb(111) : an AES-LEED study.- Applied Surface Science, vol. 212-213, p. 291-295, 2003 Palmino F., Ehret E., Mansour L., Labrune J.-C. , Lee G., Kim H., Themlin J.M.- 3x2 reconstruction of the Sm/Si(111) interface.- Physical Review B, vol. 67, p. 195413-, 2003 Paris S., Jauffret C.- Frequency line tracking using HMM-based schemes.- IEEE Transactions on Aerospace and Electronic Systems, vol 39, n° 2, p. 439-449, 2003 Patrone L., Palacin S., Bourgoin J.-P.- Direct comparison of the electronic coupling efficiency of sulfur and selenium alligator clips for molecules adsorbed onto gold electrodes.- Applied Surface Science, vol. 212, p.446-451, 2003 Patrone L., Palacin S., Charlier J., Armand F., Bourgoin J.P., Tang H., Gauthier S.- Evidence of the key role of metal-molecule bonding in metal-molecule-metal transport experiments.- Physical Review Letters, vol. 91, n° 9, p. 096802, 2003 Portavoce A., Berbezier I., Ronda A.- Effects of Sb on Si/Si and Ge/Si growth process.- Materials Science and Engineering B, vol. 101, n° 1-3, p. 181-185, 2003 Raymond R., Laugier J.M., Schäfer S., Albinet G.- Dielectric resonances in disordered media.European Physical Journal B, vol. 31, n° 3, p. 355-364, 2003 166 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Renard S., Boivin P. and Autran J.L.- New oxide quality characterization for charge leakage applications using the floating-gate technique.- Journal of Non-Crystalline Solids, vol. 322, n° 1-3, p. 179-182, 2003 Richard S., Cavassilas N., Aniel F., Fishman G.- Strained silicon on SiGe : Temperature dependence of carrier effective masses.- Journal of Applied Physics, vol. 94, n° 8, p. 5088-5094, 2003 Richard S., Cavassilas N., Aniel F., Fishman G.- Energy-band structure in strained silicon : A 20band k-p and Bir-Pikus Hamiltonian model.- Journal of Applied Physics, vol. 94, n° 3, p. 17951799, 2003 Robach Y., Abel M., Porte L.- Initial stages of Pd deposition on Au(110) : A STM, LEED and AES study.- Surface Science, vol. 526, p. 248-256, 2003 Sorbier J.P., Croci S., Imbert B., Plossu C.- Model of leakage current induced by dynamic stress in thin EEPROM tunnel oxides.- Journal of Non-Crystalline Solids, vol. 322, n° 1-3, p. 122-128, 2003 Souifi A., Brounkov P., Bernardini S., Busseret C., Militaru L., Guillot G. and Baron T.- Study of trap centres in silicon nanocrystal memories.- Materials Science and Engineering B, vol. 102, n° 13, p. 99-107, 2003 Stoneham A.M., Lannoo M., Ridley B.K.- Confinement effects and tunnelling through quantum dots. Discussion.- Philosophical Transactions of the Royal Society of London A, vol. 361, n° 1803, p. 272-273, 2003 Thommerel E., Madigou V., Villain S., Musso J., Valmalette J.C., Gavarri J.R.- Microstructure modifications and modulated piezoelectric responses in PLZT / Al2O3 composites.- Materials Science & Engineering B, vol. 97, p. 74-82, 2003 Trapes C., Bravaix A., Goguenheim D.- Impact of carrier injection in 2.2nm-thick SiO2 oxides after first and substrate enhanced electron injection.- Journal of Non-Crystalline Solids, vol. 322, p.199205, 2003 Vedda A., Autran J.L., Ferrari M., Munteanu D. and Passacantando M.- Preface.- Journal of NonCrystalline Solids, vol. 322, n° 1-3, p. vii, 2003 Zaid L., Staraj R.- Radome protected low-profile GSM antenna on small ground plane.- Microwave and Optical Technology Letters, vol. 38, n° 4, p. 328-331, 2003 Alfonso C., Fares L., Huiban Y., Gallet D., Ismeur M., Charai A.- Interfacial reactions in relation with adhesion failures in Al/TiN/Ti/SiO2 and Al/TiN/Ti/borophosphososilicate glass systems, .- Eur. Phys. J.: Appl. Phys. 22, 3 (2003) Bayle-Guillemaud P., Radtke G., Sennour M.- Electron spectroscopy imaging to study ELNES at a nanoscale.- J. of Microscopy, 210, (2003), 66 Bocquet F., Gergaud P. and Thomas O.- X-ray diffraction from inhomogeneous thin films of nanometre thickness : modelling and experiment .- J. Appl. Cryst. 36 , 154 (2003) Chamard V., Metzger T. H., Sztucki M., Holý V., Tolan M., Bellet-Amalric E., Adelmann C., Daudin B., Mariette H.- On the driving forces for the vertical alignment in nitride quantum dot multilayers,.- Europhys. Lett. 63, 268 (2003) Chamard V., Metzger T. H., Sztucki M., Tolan M., Bellet-Amalric E., Daudin B., Adelmann C., Mariette H.- Anomalous diffraction in grazing incidence to study the strain induced by GaN quantum dots stacked in an AlN multilayer.- Nuclear Instruments and Methods B 200, 95 (2003).Chatain S., Gueneau C., Labroche D., Rogez J., Dugne O.- Thermodynamic assessment of the Fe-U binary system .- J. of Phase Equilibria 24 , 122-131 (2003) PRODUCTIONS 167 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Chenevier B., Chaix-Pluchery O., Gergaud P., Thomas O., Madar R., LaVia F.- First stages of silicidation in Ti/Si thin films.- Microelectronic Engineering 70 , 455 (2003) Chenevier B., Chaix- Pluchery O., Gergaud P., Thomas O., LaVia F.- Thermal expansion and stress development in the first stages of silicidation in Ti/Si thin films,.- J. Appl. Phys. 94 , 7083 (2003) Coulet M.-V., Bellissent R., Bichara C.- Séparation de phases dans les liquides covalents : couplage entre structure et thermodynamique.- J. Phys. IV , 111, 147-166 (2003) Coulet M.-V., Simonet V., Calzavara Y., Testemale D., Hazemann J.-L., Raoux D., Bley F., Simon J.-P.- Correlation between density variation and electrical conductivity in supercritical selenium probed by Small Angle X-ray Scattering.- J. Chem. Phys. 118, 11235-8 (2003) Den-Auwer C., Drot R., Simoni E., Conradson S.D. Gailhanou M., de-Leon J.M.- Grazing incidence XAFS spectroscopy of uranyl sorbed onto TiO2 rutile surfaces.- New Journal of Chemistry. 27 (3) 648-655 (2003) Ersen O., Pierron-Bohnes V., Tuilier M-H., Pirri C., Khouchaf L., Gailhanou M.- Short- and longrange order in iron and cobalt disilicides thin films investigated by the diffraction anomalous fine structure technique.- Phy. Rev. B, 67, no.9,. 94116-1-12(2003) Ersen O., Tuilier M-H., Thomas O., Gergaud P., Lagarde P.- Cubic local order around Al and intermixing in short period AlN/TiN multilayers studied by Al K-edge extended x-ray absorption fine structure spectroscopy and x-ray diffraction.- Appl. Phys. Letters 82, 3659 (2003) Gergaud P., Megdiche M., Thomas O., Chenevier B.- Influence of Si substrate orientation on stress development in Pd silicide films grown by solid-state reaction .- App.Phys. Lett. 83, 1334 (2003) Gergaud P., Thomas O., Chenevier B.- Stresses arising from a solid state reaction between palladium films and Si(001) investigated by in situ combined X-ray diffraction and curvature measurements.- J. Appl. Phys. 94, 1584 (2003) Gutt C., Gadheri T., Chamard V., Madsen A., Seydel T., Tolan M., Sprung M., Grübel G., Sinha S. K.- Observation of heterodyne mixing in surface XPCS experiments, .- Phys. Rev. Lett. 91, 076104 (2003) Kaouache B., Gergaud P., Thomas O., Bostrom O., Legros M.- Impact of thermal cycling on the evolution of grain, precipitate and dislocation structure in Al 0.5%Cu 1%Si thin films .Microelectronic Engineering 70 , 447 (2003) Lay S., Thibault J., Hamar-Thibault S.- Structure and role of the interfacial layers in VC rich WC-Co cermets.- Phil. Mag, 83, 117(-1190 (2003) Lazar M., Raynaud C., Planson D., Chante J.P., Locatelli M.L., Ottaviani L., Godignon P.,.- Effect of Ion implantations parameters on Al dopant redistribution in SiC after annealing : Defect recovery and electrical properties of p-type layers.- J. Appl. Phys. 94, 2992-2998 (2003) Li J. B., Record M.C., Tedenac J.C.- A thermodynamic assessment of the InSe system.- Zeitschrift für Metallkunde, 94, 381-389 (2003) Li J. B., Tedenac J.C. , Record M.C.,.- Thermodynamic analysis of the Ga-Ti system.- Journ. of Alloys and Compounds, 358, 133-141(2003) Linard Y., Richet P., Rogez J., Yamashita I., Atake T.- Thermochemistry and stability of nuclear waste glasses .- J. of Jpn Soc of Cal. 29, 122-130 (2003) Liu C., Ntsoenzok E., Delamare R., Alquier D., Regula G.- The role of a top oxide layer in cavities formed by MeV He implantation into Si.- Europ. Phys. J. : Appl. Phys. 23, 45-48 (2003) Loubens A. , Fortunier R., Fillit R., Thomas O.- Simulation of local mechanical stresses in lines on substrate .- Microelectronic Engineering 70, 455 (2003) 168 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Marhag C., Said H., Satre P., Favotto C., Rogez J.- Etude thermodynamique du diagramme d'équilibre LIPO3-Pb(PO3)2. Conditions d'élaboration et métastabilité .- Journal of Thermal Analysis and Calorimetry 74, 275-285 (2003) Martinuzzi S., Périchaud I., Warchol F.,.- Hydrogen passivation of defects in multicrystalline silicon solar cells.- Solar Energy Materials and SolarCells 80, 343-54 (2003) Megdiche M., Gergaud P., Curtil C., Thomas O., Chenevier B., Mazuelas A.- In situ study of stress evolution during solid state reaction of Pd with Si (001) using synchrotron radiation .Microelectronic Engineering 70, 436 (2003) Mliki N., Kaabi H., Bessaïs B., Yangui B., Saikaly W., Dominici C., Charai A.- Morphology and Microstructure at different scales of Porous Silicon prepared by a non conventional technique.Journal of Nanoscience ans Nanotechnology 3, 413-19 (2003) Nicolas M., Deschamps A.- Characterisation and modelling of precipitate evolution in an Al-Zn-Mg alloy during non-isothermal heat treatment.- Acta Materialia 51, 6077-6094 (2003) Ottaviani L., Hidalgo P., Idrissi H., Lancin M., Martinuzzi S., Pichaud B.- Structural characterization of 6H and 4H-SiC polytypes by cathodoluminescence and X-Ray Topography.- J. of Physics : Condensed Matter 15, 1 (2003) Palais O., Arcari A.- Contactless measurement of bulk lifetime and surface recombination velocity in silicon wafers .- J. Appl. Phys. 93, 4686-4690 (2003) Palais O., Clerc L., Arcari A., Stemmer M., Martinuzzi S.- Mapping of minority carrier lifetime and mobilities in imperfect silicon wafers.- Mat. Science and Engineering B 102, 184-188 (2003) Quintana C., Menendez J.L., Huttel Y., Lancin M., Navarro E., Cebollada A.- Structural characterization of Fe (110) islands grown on alpha-Al2O3 (0001).- Thin Solids Films 434, 228238 (2003) Radtke G., Epicier T., Bayle-Guillemaud P., Le Bossé J.C.- N-K ELNES study of anisotropy effects in hexagonal AlN.- J. of Microscopy, 210, 60 (2003).- Record M.C., Izard V., Bulanova M., Tedenac J.C. , .- Phase transformations in the Zn-Cd-Sb system.- Intermetallics, 11, 1189-1194 (2003) Record M.C., Daouchi B., M., Tedenac J.C. , .- Phase diagram of the Pb-PbSe-InSe-In sub-system.Journ. of Alloys and Compounds, 361, 157-159 (2003) Record M.C., Pascal C., Frety N., M., Tedenac J.C. , Marin-Ayral R.M.,.- Optimisation procedure of the NiAl combustion synthesis under high-gas pressure in repairing Ni-based superalloys.International Journal of SHS, 12, 303-312 (2003) Saikaly W., Bano X., Issartel C., Rigaut G., Charai A.- Powerful microscopy techniques available for resolving complex microstructure in multiphase steels, .- Rev. Met. Paris 5, 513-521(2003) Schmidt U., Eisenschmidt C., Syrowatka F., Bartusch R., Zahra C.Y., Zahra A.-M.- Structure development in amorphous Al-La alloys.- J. Phys.: Condens. Matter 15, 385-413 (2003) Sort J.., Zhylyaev A., Zielinska M., Nogues J., Surinach S., Thibault J. and Baro M. D.Microstructural effects and large microhardness in Co processed by high pressure torsion consolidation of ball milled powders.- Acta Materiala, 151, 6385-6393 (2003) Sztucki M., Schülli T. U., Metzger T. H., Chamard V., Schuster R., Schuh D.- Strain analysis of a quantum wire system produced by a cleaved edge overgrowth using grazing incidence diffraction.Appl. Phys. Lett. 83, 872 (2003) Texier M., Bonneville J., Proult A., Rabier J., Baluc N., Guyot P.- On the yield point of icosahedral AlCuFe quasicrystals,.- Scripta Materialia, 49, 41 (2003) Texier M., Proult A., Bonneville J., Rabier J., Baluc N., Cordier P.- Microstructural analysis of iAlPdMn quasicrystals deformed between room temperature and 300°C under confining pressure.Scripta Materialia, 49, 47 (2003) PRODUCTIONS 169 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Thomas O., Shen Q., Schieffer P. , Tournerie N., Lepine B.- Interplay between anisotropic strain relaxation and uniaxial interface magnetic anisotropy in epitaxial Fe films on (001) GaAs .- Phys. Rev. Lett. 90, 017205 (2003) Ventura L., Pichaud B., Vervisch W., Lanois F.- p-type doping by platinum diffusion in low phosphorus doped silicon.- Europ. Phys. J.: Appl. Phys. 23, 33 (2003) Verlinden B., Zahra A.-M.- Precipitation hardenable Al-Mg-Cu alloys : Mechanical properties and hardening mechanisms.- Mater. Sci. Forum 426-432, 423-428 (2003) Escoubas L., Simon J.J., Loli M., Berginc G., Flory F., Giovannini H.- An antireflective silicon grating working in the resonance domain for the near infrared spectral region.- Optics Communications, vol. 226, p. 81-88 , 2003 Escoubas L., Huguet-Chantôme P., Jelínek M., Flory F., Drouard E., Lancok J., Simon J.J., Mazingue T.- Optical and electro-optical properties of pulse laser deposited PLZT thin films.Optical Engineering, vol. 42, n° 12, p. 3584-, 2003 2004 Agliozzo S., Mancini L., Klein H., Schenk T., de Boissieu M., Nguyen Thi H., Gastaldi J., Hartwig J. and Baruchel J.- Using Synchroton radiation X-ray imaging to investigate porosity in quasicrystals.- ESRF Newsletter, vol. 40, p. 30-31, 2004 Aneflous L., Musso J., Villain S., Gavarri J.R., Benyaich H.- Effects of temperature and Nd composition on non-linear transport properties in substituted Ce1-xNdxO2-d cerium dioxides.Journal of Solid State Chemistry, vol. 177, n° 3, p. 856-865, 2004 Avella A., Mancini F., Hayn R.- The energy-scale dependent composite operator method for the single-impurity Anderson model.- European Physical Journal B, vol. 37, p. 465-471, 2004 Bendahan M., Boulmani R., Seguin J. L. and Aguir K.- Characterization of ozone sensors based on WO3 reactively sputtered films : influence of O2 concentration in the sputtering gas, and working temperature.- Sensors and Actuators B, vol. 100, n° 3, p. 323-327, 2004 Bergman C., Gas p., Mangelinck D.- Nanoscale effects on interfacial reactions.- Journal of the Electroanalytical Chemistry, vol. 573, n° 1, p. 71-75, 2004 Bernardini S., Masson P. and Houssa M.- Effect of fixed dielectric charges on tunneling transparency in MIM and MIS structures.- Microelectronic Engineering, vol. 72, n° 1-4, p. 90-95, 2004 Bernardini S., Masson P., Houssa M. and Lalande F.- Origin and repartition of the oxide fixed charges generated by electrical stress in memory tunnel oxide.- Applied Physic Letters, vol. 84, n° 21, p. 4251-4253, 2004 Bertaina S., Pashchenko V.A., Stepanov A., Masuda T., Uchinokura K.- Electron spin resonance in the spin-1/2 quasi-one-dimensional antiferromagnet with Dzyaloshinskii-Moriya interaction BaCu2Ge2O7.- Physical Review Letters, vol. 92, n° 5, p. 057203-1, 2004 Bescond M., Autran J.L., Munteanu D., Lannoo M.- Atomic-scale modeling of Double-Gate, MOSFETs using a tight-binding Green’s function formalism.- Solid-State Electronics, vol. 48, p. 567-574, 2004 Beszeda I., Szabó I. A., Gontier-Moya E.G.- Morphological evolution of thin gold films studied by Auger Electron Spectroscopy in beading conditions.- Applied Physics A, vol. 78, p. 1079-1084, 2004 Billia B., Gastaldi J., Grange G., Bergeon N., Nguyen Thi H., Jamgotchian H.- Cumulative mechanical moments and microstructure deformation induced by growth shape in columnar solidification.- Physical Review Letters, vol. 93, p. 126105, 2004 170 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Borel S., Arvet C., Bilde J., Harrison S., Louis D.- Isotropic etching of SiGe alloys with a high selectivity to similar materials.- MicroElectronic Engineering, vol. 73-74, p. 301-305, 2004 Bouchakour R., Portal J.M., Gallière J.M., Azaïas F., Bertrand Y., Renovell M.- A compact DC model of gate oxide short defect.- Microelectronic Engineering, vol. 72, n° 1-4, p. 140-148, 2004 Bravaix A., Goguenheim D., Revil N., Vincent E.- Deep hole trapping effects in the degradation mechanisms of 6.5 to 2nm thick gate-oxide PMOSFETs.- Microelectronic Engineering, vol. 72, n° 14, p. 106-111, 2004 Bravaix A., Goguenheim D., Revil N., Vincent E.- Hole injection enhanced hot-carrier degradation in PMOSFETs used for system on chip applications with 6.5-2nm thick gate-oxide.- Microelectronic Reliability, vol. 44, n° 1, p. 65-77, 2004 Brequel H., Parmentier J., Walter S., Badheka R., Trimmel G., Masse S., Latournerie J., Dempsey P., Turquat C., Desmartin-Chomel A., Le Neindre-Prum L., Jayasooriya U. A., Hourlier D., Kleebe H.-J., Soraru G. D., Enzo S., Babonneau F.- Systematic structural characterization of the hightemperature behavior of nearly stoichiometric silicon oxycarbide glasses.- Chemistry of Materials, vol. 16, n° 13, p. 2585-2598, 2004 Castellani-Coulié K., Sagnes B., Saigné F., Palau J-M.,. Calvet M-C, Dodd P.E., Sexton F.W.- Study of a SOI SRAM sensitivity to SEU by 3-D device simulation.- IEEE Transactions on Nuclear Science, vol. 51, p. 2799-2804, 2004 Chaplygin I., Hayn R.- Sb2O2VO3 as a candidate for an ideal inorganic spin-Peierls compound.Physical Review B, vol. 70, p. 064510, 2004 Deleruyelle D., Le Royer C., De Salvo B., Le Carval G., Gely M., Baron T., Autran J.L., Deleonibus S.- A new memory concept: the nano-multiple-tunnel-junction memory with embedded Si nanocrystals.- Microelectronic Engineering, vol. 72, p. 399-404, 2004 Denais M., Huard V., Parthasarathy C., Ribes G., Perrier F., Revil N., Bravaix A.- Interface trap generation and hole trapping under NBTI and PBTI in advanced CMOS technology with a 2nm gate-oxide.- IEEE Transactions on Device Materials Reliability, vol. 4, p. 715-722, 2004 D'Heurle F.M., Gas P., Lavoie C., Philibert J.- Diffusion in intermetallic compounds: the ordered Cu3Au rule, its history.- Z. Metallkunde, vol. 95, p. 852-859, 2004 Duc F., Millet P., Chabre F., Ghorayeb A., Stepanov A.- On the low-temperature structure and magnetic behaviour of h-Na1.286V2O5.- Journal of Physics : Condensed Matter, vol. 16, n° 11, p. S629-, 2004 Duc F., Millet P., Ravy S., Thiollet A., Chabre F., Ghorayeb A., Stepanov A.- Low-temperature superstructure and charge-ordering effect in h-Na1.286V2O5.- Physical Review B, vol. 69, p. 094102-, 2004 Dupuis V., L. Favre, S. Stanescu, J. Tuaillon, E. Bernstein, A. Perez.- Magnetic assembled nanostructures from pure and mixed Co-based clusters.- J. Phys. Cond. Matter, vol. 16, p. S2231 S2240, 2004 Egels M., Gaubert. J., Pannier P., Bourdel S.- Design method for fully integrated CMOS RF LNA.Electronics Letters, vol. 40, n° 24, p. 1513-1514,, 2004 Elgazzar S., Opahle I., Hayn R., Oppeneer P.M.- Calculated de Haas-van Alphen quantities of CeMin5 (M=Co, Rh, and Ir) compounds.- Physical Review B, vol. 69, p. 214510, 2004 Favre L., S. Stanescu, V. Dupuis, E. Bernstein, T. Epicier, P. Mélinon, A. Perez.- Nanostructured thin films from mixed magnetic Co-Ag clusters.- Appl. Surf. Sci., vol. 226, p. 265-270, 2004 Gagou Y., Muller Ch., Frémy M.A., Mezzane D., Elkaïm E., Saint-Grégoire P.- Structural study of ferroelectric and paraelectric phases in PbK2LiNb5O15.- Physica Status Solidi, vol. 241, p. 26292638, 2004 PRODUCTIONS 171 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Gas P., Bergman C., Labar J., Barna P., d’Heurle F.M.- Formation of embedded Co nanoparticles by reaction in Al/Co multilayers and impact on phase sequence.- Applied Physics Letters, vol. 84, p. 2421-2423, 2004 Gilibert F., Rideau D., Bernardini S., Scheer P., Minondo M., Roy D., Gouget G. and Juge A.- DC and AC MOS transistor modelling in presence of high gate leakage and experimental validation.Solid-State Electronics, vol. 48, n° 4, p. 597-608, 2004 Gillet M., Aguir K., Lemire C., Gillet E. and Schierbaum K.- The structure and electrical conductivity of vacuum-annealed WO3 thin films.- Thin Solid Films, vol. 467, p. 239-246, 2004 Gillet M., Masek K., Gillet E.- Structure of tungsten oxide nanoclusters.- Surface Science, vol. 566568, p. 383-389, 2004 Gontier-Moya E.G., Beszeda I., Moya F.- Comparisons of parameters involved in mass transport and desorption at the surface of noble metals and sapphire.- Surface Science, vol. 566-568, p. 148-154, 2004 Gontier-Moya E.G., Moya F., Bernardini J.- A new diffusion mechanism for self-compensating impurities in alpha-alumina.- Z. Metallkd, vol. 95, p. 888-894, 2004 Guinneton F., Sauques L., Valmalette J.C., Cros F., Gavarri J.R.- Optimized infrared switching properties in thermochromic vanadium dioxide thin films: role of deposition process and microstructure.- Thin Solid Films, vol. 446, n° 2, p. 287-295, 2004 Harrison S., Coronel P., Wacquant F., Regnier C., Leverd F., Beverina A., Bustos J., Tavel B., Skotnicki T.- New concept of high-k integration in MOSFET’s by a deposition through contact holes.- MicroElectronic Engineering, vol. 72, p. 321-325, 2004 Hemamala U.L.C. , El-Ghussein F., Goedken A.M., Chen B., Leroux Ch. and Kruger M.B.- Highpressure X-ray diffraction and Raman spectroscopy of HfV2O7.- Physical Review B, vol. 70, p. 2141141-214114, 2004 Houssa M., Aoulaiche M., Autran J.L., Parthasarathy C., Revil N., Vincent E.- Modeling negative bias temperature instabilities in hole channel metal-oxide-semiconductor field effect transistors with ultrathin gate oxide layers.- Journal of Applied Physics, vol. 95, p. 2786-2791, 2004 Houssa M., De Gendt S., Autran J.L., Groeseneken G., Heyns M.M.- Role of hydrogen on negative bias temperature instability in HfO2-based hole channel field-effect transistors.- Applied Physics Letters, vol. 85, p. 2101-2103, 2004 Houssa M., S. De Gendt, G. Groeseneken, M.M. Heyns, and Autran J.L.- Negative bias temperature instabilities in SiO2/HfO2 based hole channel field effect transistors.- Journal of the Electrochemical Society, vol. 151, p. F288-, 2004 Ionescu A. M., Munteanu D., Hefyene N., Anghel C.- Compact modeling of weak inversion generation transients in SOI MOSFETs.- Journal of the Electrochemical Society, vol. 151, p. G396G401, 2004 Isa M., Valmalette J.C., Muller C., Lomello-Tafin M., Gas P., Elkaïm E.- Study of the nanostructuration of ZrAu alloy near the ambient temperature by synchrotron radiation and thermal analyses.- J. Alloys Compounds, vol. 373, 1-2, p. 96-103, 2004 Jamgotchian H., Nguyen Thi H., Bergeon N., Billia B.- Double-diffusive convective modes and induced microstructure localisation during solidification of binary alloys.- International Journal of Thermal Sciences, vol. 43, n° 8, p. 769-777, 2004 Kanoun M., M. Lemiti, G. Bremond, A. Souifi, F. Bassani, Berbezier I.- Electrical study of MOS structure with Ge embedded in SiO2 as floating gate for non-volatile memory.- Superlattices and Microstructures, vol. 36, n° 1-3, p. 143-148, 2004 Karmous A., A. Cuenat, A. Ronda, I. Berbezier , S. Atha, R. Hull.- Ge dot organization on Si substrates patterned by focused ion beam.- Applied Physics Letters, vol. 85, p. 6401-6403, 2004 172 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Klimczak-Chmielowska M., Chmielowski R., Kopia A., Kusinski J., Villain S., Leroux C., Gavarri J.R.- Multiphase CuOz-CeO2-d thin films by pulsed laser deposition technique : experimental texture evolutions and kinetics modeling.- Thin Solid Films, vol. 458, p. 98-107, 2004 Kyung B., Hankevych V., Dare A.M., Tremblay A.M.S.- Pseudogap and spin fluctuations in the normal state of the electron-doped cuprates.- Physical Review Letters, vol. 93, n° 14, p. 147004-, 2004 Lauque P., M. Bendahan, JL. Seguin, K A. Ngo, P. Knauth.- Highly sensitive and selective room temperature NH3 gas microsensor using an ionic conductor (CuBr) film.- Analytica Chimica Acta, vol. 515, n° 2, p. 279-284, 2004 Liu Q.S., Zhou B.H., Nguyen Thi H., Hu W.R.- Instability of two layer Rayleigh-Benard convection with interfacial thermocapillary effect.- China Physics Letters, vol. 21, p. 686-, 2004 Lopez L., Masson P., Née D., Bouchakour R.- Temperature and drain voltage dependence of gateinduced drain leakage.- Microelectronic Engineering, vol. 72, n° 1-4, p. 101-105, 2004 Mangelinck D., Lee P.S., Osipowitcz T., Pey K.L.- Analysis of laterally non-uniform layers and submicron devices by Rutherford backscattering spectrometry.- Nuclear Instruments and Methods in Physics Research B, vol. 215, n° 3-4, p. 495-500, 2004 Marine W., Bulgakova N.M., Patrone L., Ozerov I.- Electronic mechanism of ion expulsion under UV nanosecond laser excitation of silicon: experiment and modeling.- Applied Physics A : Materials Science & Processing, vol. 79, p. 771-774, 2004 Menou N., Castagnos A-M., Muller Ch., Johnson J., Wouters D.J., Baturin I., Shur V. Ya.- Failure analysis of FeCAPs. Electrical behavior under synchrotron X-ray irradiation.- Integrated Ferroelectrics, vol. 61, p. 89-95, 2004 Moreau J.M., Isa, Chaou A.A., Lomello-Tafin M., Galez P., Jourdan J., Valmalette J.C., Soubeyroux J.L.- Neutron powder diffraction study of the crystal structures of ZrAu.- J. Alloys Compounds, vol. 373, 1-2, p. 16-27, 2004 Nony L., Gnecco E., Bennewitz R., Baratoff A., Alkauskas A., Pfeiffer O., Maier S., Wetzel A., Meyer E. and Gerber C.- Ordered molecular assemblies confined in nanostructures on an insulator.Nanoletters, vol. 4, p. 2185-2189, 2004 Nony L., Bennewitz R., Pfeiffer O., Gnecco E., Meyer E., Eguchi T., Gourdon A., Joachim C.- CuTBPP and PTCDA molecules on insulating surfaces investigated by UHV non-contact AFM.Nanotechnology, vol. 15, p. S91-S96, 2004 Nony L., Gnecco E., Bennewitz R., Baratoff A., Alkauskas A., Pfeiffer O., Maier S., Wetzel A., Meyer E.and Gerber C.- Ordered molecular assemblies confined in nanostructures on an insulator.Nanoletters, vol. 4, 2185-2189, 2004 Oison V., Rabiller P., Katan C.- Theoretical investigation of the ground-state properties of DMTTFCA : a step toward the understanding of charge transfer complexes undergoing the neutral-toionic phase transition.- Journal of Physical Chemistry A, vol. 108, p. 11049-11055, 2004 Papageorgiou N., Angot T., Salomon E., Giovanelli L., Layet J. M., Le Lay G.- Physics of ultra-thin phtalocyanine films on semiconductors.- Progress in Surface Science, vol. 77, p. 139-, 2004 Patrone L., Palacin S., Bourgoin J.-P., Werts M.H.V.- Versatility of aqueous micellar solutions for self-assembled monolayers engineering.- Langmuir, vol. 20, n° 26, p. 11577-11582, 2004 Payet F., Cavassilas N., Autran J.L.- Theoretical investigation of hole phonon-velocity in strained Si/SiGe MOSFET’s.- Journal of Applied Physics, vol. 95, p. 713-717, 2004 Pechou R., Ajustron F., Seine G., Coratger R., Maurel C., Beauvillain J.- A hybrid instrument combining electronic and photonic tunnelling for surface analysis.- Applied Surface Science, vol. 225, 2004 PRODUCTIONS 173 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Pey K.L., Lee P.S. and Mangelinck D.- Ni(Pt) alloy silicidation on (100) Si and poly-silicon lines.Thin Solid Films, vol. 462-463, p. 137-145, 2004 Pfeiffer O., Nony L., Bennewitz R., Baratoff A., Meyer E.- Distance dependence of force and dissipation in nc-AFM on Cu(100) and Al(111).- Nanotechnology, vol. 15, p. S101-S107, 2004 Portavoce A., Berbezier I., Gas P., Ronda A.- Sb-surface segregation during epitaxial growth of SiGe heterostructures : the effects of Ge composition and biaxial stress.- Physical Review B, vol. 69, n° 15, p. 155414, 2004 Portavoce A., Berbezier I., Ronda A.- Sb-surfactant-mediated nanostructures.- Physical Review B, vol. 69, p. 155416, 2004 growth of Si and Ge Portavoce A., Gas P., Berbezier I., Ronda A, Christensen J.S., Yu. Kuznztsov A., Svensson B.G.- Sb lattice diffusion in Si l-xGex /Si(100) heterostructures : chemical and stress effects.- Physical Review B, vol. 69, p. 155415, 2004 Portavoce A., Gas P., Berbezier I., Ronda A., Christensen J.S., Svensson B.- Lattice diffusion and surface segregation of B during growth of SiGe heterostructures by molecular beam epitaxy : Effect of Ge concentration and biaxial stress.- Journal of Applied Physics, vol. 96, n°6, p. 31583163, 2004 Portavoce A., M. Kammler, R. Hull, M. C. Reuter, M. Copel, Ross F. M.- Growth kinetics of Ge islands during Ga-surfactant-mediated ultrahigh vacuum chemical vapor deposition on Si(001).Physical Review B, vol. 70, p. 195306, 2004 Porte L.- Stress and surface energies versus surface nanostructuring : the InGaAs/InP(001) epitaxial system.- Journal of Crystal Growth, vol. 273, p. 136-148, 2004 Rolland A., Bernardini J., Moya G. et Girardeaux C.- Kinetics of tin segregation on crystalline semiconductor surfaces: effect of the defects induced by ion bombardment.- Surface Science, vol. 566568, p. 1163-1168, 2004 Ronda A., Berbezier I.- Self-patterned Si surfaces as templates for Ge islands ordering.- Physica E : Low-dimensional Systems and Nanostructures, vol. 23, n° 3-4, p. 370-376, 2004 Rowell N.L, D.C. Houghton, I. Berbezier, A. Ronda, D. Webb, Ward M.- Dopant layer abruptness in strained Si1-xGex heterostructures.- Journal of Vacuum Science and Technology A, vol. 22, n° 3, p. 939-942, 2004 Saitzek S., Guirleo G., Guinneton F., Sauques L., Villain S., Aguir K., Leroux C., Gavarri J.R.- New thermochromic CeO2-VO2 bilayers for optical or electronic switching systems.- Thin Solid Films, vol. 449, n° 1-2, p. 166-172, 2004 Salhi F., Berrada A., Aride J., Bernardini J., Moya G.- Dependance of the rolling degree characterized by microhardness on the anisothermal recristallization in straight rolled silver.Physical & Chemical News, vol. 19, p.1-7, 2004 Si Ahmed A., Kansy J., Zarbout K., Moya G., Goeuriot D.- Positron trapping within the grain and at grain boudaries in sintered alumina of high impurity content.- Materials Science Forum, vol.445446, p.177-180, 2004 Suchodolskis A., Assmus W., Giovanelli L., Karlsson U. O., Karpus V., Le Lay G., and Uhrig E.Valence band structure of i-ZnMgEr quasicrystal: photoemission study.- Journal of Physics : Condensed Matter, vol. 16, p. 1-, 2004 Torregrosa F., C.Laviron, H. Faik, D. Barakel, F. Milesi and S. Beccaccia.- Realization of ultra shallow junctions by PIII: application to solar cells.- Surface and Coatings Technology, vol. 186, n° 1-2, p. 93-98, 2004 174 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Villaret A., Ranica R., Masson P., Malinge P., Mazoyer P., Candelier P., Jacquet F., Cristoloveanu S., Skotnicki T.- Mechanisms of charge modulation in the floating body of triple-well nMOSFET capacitor-less DRAMs.- Microelectronic Engineering, vol. 72, n° 1-4, p. 434-439, 2004 Volpi F., A R Peaker, I Berbezier and Ronda A.- Electrically active defects induced by sputtering deposition on silicon : the role of hydrogen.- Journal of Applied Physics, vol. 95, p. 4752-, 2004 Xerri B., Borloz B.- An iterative method using conditional second order statistics applied to the blind source separation problem.- IEEE Transactions on Signal Processing, vol 52, n° 2, p. 313328, 2004 Barge D., Pichaud B., Joly J.P.- High temperature Si(001) surface defect evolution during extended annealing: experimental results and modelling.- Appl. Surf. Science 226, 341-6 (2004) Bechade J-L., Brenner R., Goudeau P., Gailhanou M.- Determination of residual stresses in a zirconia layer by X-ray diffraction and by a micromechanical approach: thermoelastic anisotropy effect.- Revue de Metallurgie, 100, no.12, 1151-6 (2004) Ben-Abdelkader S., Ben-Cherifa A. , Coulet M-V., Khattech I., Rogez J., Jemal M.- Enthalpy of formation of whitlockite Ca18Mg2H2(PO4)(14).- Journ. Therm. Anal. and Calorim., 77, 863-871 (2004) Benarchid Y., Diouri A., BouKhari A., Aride J., Rogez J., Castanet R.- Elaboration and thermal study of iron-phosphorus substituted dicalcium silicate phase.- Cement and Concrete Research 34, 1873-1879 (2004) Boa D., Hassam S., Rogez J., Kotchi K. P.- The iron-antimony system: enthalpies of formation of the FeSb2 and e-FeSb phases.- Journal of Alloys and Compounds 365, 228-32 (2004) Bocquet F., Bigault T., Alfonso C., Labat S., Thomas O., Charai A.- In situ stress measurements during the growth at different temperatures of Ag-Cu(111) multilayers.- J. Appl. Phys. 95, 115261 (2004) Bouad N., Record , J.C. Tedenac M.C., Marin-Ayral R.M.- Mechanical alloying of a thermoelectric alloy : Pb0.65Sn0.35Te.- Journ. of Sol. State Chemistry 177, 221-226 (2004) Chamard V., Schülli T., Sztucki M., Metzger T.H., Sarrigiannidou E., Tolan M., Adelmann C., Daudin B.- Strain distribution in nitride quantum dot multilayers.- Phys. Rev. B 69, 125327 (2004) Décamps B., Priester L., Thibault J.- On the core localization of grain boundary extrinsic dislocations in Ni.- Adv. Engineer. Mater. 6, 814-818 (2004) Dumont-Nicolas M., Deschamps A.- Precipitate microstructures and resulting properties of Al-ZnMg metal inert gas-weld heat-affected zones.- Metall. and Mater. Trans . 35A, 1437-1448 (2004) Feklisova O. V. , Yakimov E. B., Yarykin N., Pichaud B.- Temperature dependence of electron beam induced current contrast of deformation-induced defects in silicon.- Journ. Phys.: Cond. Mat. 16, 201-5 (2004) Gergaud P., Rivero C., Gailhanou M., Thomas O., Froment B. , Jaouen H.- Exploring Ni-Si thin-film reactions by means of simultaneous synchrotron .- X-ray diffraction and substrate curvature measurements.- Mat. Sci. and Engin. B 114-15, 67-71 (2004) Gavillet J. , Thibault J., Stephan O., Amara H., Loiseau A., Bichara C., Gaspard J. P., Ducastelle F.Nucleation and growth of single wall nanotubes : the role of metallic catalys.- Journal of Nanoscience and Nanotechnol., 4 , 346-359 (2004) Hidalgo P., Ottaviani L., Idrissi H., Lancin M., Martinuzzi S., Pichaud B.- Structural characterisation of (11(2)0) 4H-SiC substrates by cathodoluminescence and X-ray topography.- Europ. Phys. Journ.:Appl. Phys. 27, 231-3 (2004) PRODUCTIONS 175 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Hidalgo P., Palais O., Martinuzzi S.- Behaviour of metallic impurities at grain boundaries and dislocation clusters in multicrystalline silicon wafers deduced from contactless lifetime scan maps.Journ. Phys.: Cond. Mat. 16, 19-24 (2004) Labat S., Bocquet F. , Gilles B., Thomas O.- Stresses and interfacial structure in Au-Ni and Ag-Cu metallic multilayers.- Scripta-Materialia 50 , 717-21 (2004) Lacaze E., Michel J.P., Goldmann M., Gailhanou M., de-Boissieu M., Alba M.- Bistable nematic and smectic anchoring in the liquid crystal octylcyanobiphenyl (8CB) adsorbed on a MoS2 single crystal.- Phys. Rev. E., 69, 41705-8 (2004) Leoni E. , Binetti S., Pichaud B., Pizzini S.- Dislocation luminescence in plastically deformed silicon crystals: effect of dislocation intersection and oxygen decoration.- Europ. Phys. Journ.: Appl. Phys. 27, 123-7 (2004) Leoni E., El Bouayadi R, Martinelli L., Regula G., Ntsoenzok E., Pichaud B., Pizzini S.- Structural and optical characterization of a dispersion of nanocavities in a crystalline silicon matrix.- Europ. Phys. Journ.: Appl. Phys. 27, 89-92 (2004) Loiseau A., Gavillet J., Ducastelle F., Thibault J., Stéphan O., Bernier P., Thair S.- Nucleation and growth of SWNT: TEM studies of the role of the catalyst.- C. R Physique 4, 975-991 (2004) Michel J. P., Lacaze E., Alba M., de-Boissieu M. , Gailhanou M., Goldmann M.- Optical gratings formed in thin smectic films frustrated on a single crystalline substrate.- Phys. Rev. E., 70, 1170912 (2004) Morris S.J., Fougeres P., Bozzo-Escoubas S., Bodnar S., Gaillard S.- Simultaneous optical measurement of Germanium content and Boron doping in strained heteroepitaxial SiGe films using a novel data-analysis technique.- Mat. Science in Semiconductor Processing Vol. 7, 383-388 (2004) Ottaviani L., Hidalgo P., Idrissi H., Lancin M., Martinuzzi S., Pichaud B.- Structural characterization of 6H- and 4H-SiC polytypes by means of cathodoluminescence and x-ray topography.- Journ. Phys.: Cond. Mat. 16, 107-14 (2004) Palais O., Hidalgo P.- Investigation and identification of Transition Metals in p-Type Boron-Doped Silicon by Non-Invasive Techniques .- Defects and Diffusion Forum 230, 125-133 (2004) Palais O., Hidalgo P., Martinuzzi S.- FeB and CrB pair reassociation kinetics in imperfect Si controlled by contactless lifetime scan maps.- Europ. Phys. Journ.: Appl. Phys. 27, 483-5 (2004) Palais O., Lamzatouar A., Hardouin-Duparc O. B. M., Thibault J., Charaï A.- Correlation between electrical activity and various structures of Ge grain boundaries.- Journ. of Phys. : Cond. Mat. 16, 8207-8210 (2004) Pizzini S., Leoni E., Binetti S., Acciari M., Le Donne A., Pichaud B.- Luminescence of dislocations and oxide precipitates in Si.- Solid State Phenom. 95-96, 273-82 (2004) Quintana C., Golmayo D., Dotor M., Lancin M.- Analysis of local deformations in heterostructures containing short period superlattices by high-resolution transmission electron microscopy.- Europ. Phys. Journ.: Appl. Phys. 25, 159-68 (2004) Rivero C., Gergaud P., Thomas O., Froment B., Jaouen H.- In situ study of stress evolution during the reaction of a nickel film with a silicon substrate .- Microelectronic Engineer. 76, 318−323 (2004) Rollet A.L., Bessada C., Auger Y., Melin P. , Gailhanou M., Thiaudiere D.- A new cell for high temperature EXAFS measurements in molten rare earth fluorides .- Nucl. Instr. And Meth. B 226, 447-452 (2004) Rollet A.L., Bessada C., Rakhmatoulline A., Auger Y., Melin P., Gailhanou M., Thiaudiere D.- In situ high temperature NMR and EXAFS experiments in rare-earth fluoride molten salts.- Comptes Rendus de Chimie. 7 (12) 1135-1140 (2004) 176 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Roussel J..M., Saul A., Tréglia G., Legrand B.- Linear time dependence of the surfactant effect: a local equilibrium under flux.- Phys. Rev. B, 69 115406 (2004) Sztucki M., Schülli T., Metzger T.H., Beham E., Schuh D., Chamard V.- Direct determination of strain and composition inInGaAs nano-island using anomalous grazing incidence diffraction.Superlatices and microstructures 36, 11 (2004) Texier M., Proult A., Bonneville J., Rabier J.- Microstructures of i-AlPdMn deformed at low and intermediate temperatures.- Mat. Sc. & Engin. A, 387-389, 1023 (2004) Thibault J., Bayle-Guillemaud P., Dressler C.- Au/Ni multilayer transformation with temperature: a quantitative HREM study.- Interface Science 2, 235-247 (2004) Varlet H., Curtil C., Alfonso C., Burle N., Arnoult A., Fontaine C., Laügt M.- Characterization by Xray diffraction and Electron Microscopy of GaInAs & GaAsN single layers and quantum wells grown on GaAs.- Physica E, 23, 362 (2004) Vervisch W., Ventura L., Pichaud B., Ducreux G., Lanois F., Lhorte A.- Kinetic reaction of the formation of the platinum related complex at the origin of the p-type doping effect in silicon.- Solid State Phenom. 95-96, 361-6 (2004) Zahra A.M., Zahra C.Y., Raviprasad K., Polmear I.J.- Effects of minor additions of Mg and Ag on precipitation phenomena in Al-4 mass%C.- Phil. Mag. A, 84, 2521-41 (2004) Drouard E., Escoubas L., Flory F., Tisserand S., Roux L.- Ion implanted integrated optics (I3O®) technology for planar lightwave circuits fabrication.- Journal of Lightwave Technology, vol. 0733, p. 8724-, 2004 Elalamy Z., Drouard E., Mcgovern T., Escoubas L., Simon J.J., Flory F.- Thermo-optical coefficients of sol-gel ZrO2 thin films.- Optics Communications, vol. 372, p. 365 – 372, 2004 Flory F., Escoubas L.- Optical properties of nano - structured thin films.- Progress in Quantum Electronics, vol. 28, p. 89-, 2004 Hubert C., Fiorini-Debuisschert C., Raymond P., M. Nunzi J., Simon J.J., Escoubas L.- Spontaneous photo-induced structuration of the surface of Azo-Benzene polymer films by the molecular migration effect.- Nonlinear Optics. Quantum Optics, vol. 31, p. -, 2004 2005 Ananou B., Baronnet A ., Ksari Y., Marfaing J., Regnier S., Rochette P., Sulpice A., Stepanov A.Magnetic properties of marine tertiary tephra investigated over a wide temperature range.- Journal of Magnetism and Magnetic Materials, vol. 293, n° 2, p. 816-825, 2005 Aneflous L., Villain S., Gavarri J-R., Musso J.A., Benyaich H., Benlhachemi A., Marrouche A.Elaborations and characterization of europium doped ceria solid solutions.- Journal de Physique IV France, vol. 123, p. 35-39, 2005 Autran J.L., Decarre E., Munteanu D., Bescond M., Houssa M.- A simulation analysis of FIBL in decananometer double-gate MOSFETs with high-k gate dielectrics.- Journal of Non-Crystalline Solids, vol. 351, p. 1897-1901, 2005 Autran J.L., Munteanu D., Houssa M., Castellani-Coulié K., Said A.- Performance degradation induced by fringing field-induced barrier lowering and parasitic charge in double-gate metal-oxidesemiconductor field-effect transistors with high-k dielectrics.- Japanese Journal of Applied Physics, p. 8362-8366, 2005 Autran J.L., Munteanu D., Tintori O., Aubert M., Decarre E.- An analytical subthreshold current model for ballistic quantum-wire MOS transistors.- Molecular Simulation, vol. 31, n° 2-3, p. 179183, 2005 PRODUCTIONS 177 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Autran J.L., Nehari K., Munteanu D.- Compact modeling of the threshold voltage in silicon nanowire MOSFET including 2D-quantum confinement effects.- Molecular Simulation, vol. 31, p. 839-843, 2005 Avoinne C., Rashid T., Chowdhury V., Rahajandraibe W., Dufaza C.- Second-order compensated bandgap reference with convex correction.- Electronics Letters, vol. 41, n° 7, p. 276-277, 2005 Bansmann J., S.H. Baker, C. Binns, J.A. Blackman, J.-P.Bucher, J. Dorantes-Dávila, V. Dupuis, L. Favre, D. Kechrakos, A.Kleibert, K.-H. Meiwes-Broer, G.M. Pastor, A. Perez, O.Toulemonde, K.N. Trohidou, J. Tuaillon et Y. Xie.- Magnetic and structural properties of isolated and assembled clusters.- Surface Science Reports, à paraître, 2005 Barrett N., Krasovskii E.E., Themlin J.-M., Strocov V.N.- Elastic scattering effects in the electron mean free path in a graphite overlayer studied by photoelectron spectroscopy and LEED.- Physical Review B, vol. 71, p. 035427, 2005 Baturin I., Menou N., Shur V. Ya., Muller Ch., Kuznetsov D., Hodeau J-L., Sternberg A.- Influence of irradiation on the switching behavior in PZT thin films.- Materials Science and Engineering B, vol. 120, p. 141-145, 2005 Bellini, B., Ackermann, J., Klein, H., Dumas, Ph., Safarov, V.- Light-induced random-walk motion in azo-polymers.- Materials Science and Engineering C, vol. 25, 675-678, 2005 Berbezier I., Descoins M., Ismail B., Maaref H., Ronda A.- Influence of Si(001) substrate misorientation on morphological and optical properties of Ge quantum dots.- Journal of Applied Physics, vol. 98, n° 6, p. 063517, 2005 Bergeon N., Trivedi R., Billia B., Echebarria B., Karma A., Liu S., Weiss C., Mangelinck-Noël N.Real-time in situ characterization of interface- dynamics in microstructure formation during 3Ddirectional solidification of transparent alloys.- Advances in Space Research, vol. 36, p. 80-, 2005 Bescond M., Autran J.L., Cavassilas N., Munteanu D., Lannoo M.- Treatment of point defects in nanowire MOSFETs using the nonequilibrium Green’s function formalism.- Journal of Computational Electronics, vol. 3, p. 393-396, 2005 Beszeda I., Beke D.L., Gontier-Moya E.G., Kaganovskii Yu.S., Ianetz D.- Calculations of surface diffusion self-diffusion coefficients from AES data on decay of thin metal films.- Defect and Diffusion Forum, vol. 237-240, p. 727-732, 2005 Beszeda I., Gontier-Moya E.G., Imre A.W.- Surface Ostwald ripening and evaporation of gold beaded films on sapphire.- Applied Physics A, vol. 81, n° 4, p. 673-676, 2005 Billia B., Gandin Ch-A., Zimmermann G., Browne D., Dupouy M-D.- Columnar-equiaxed transition in solidification processing : the ESA-MAP CETSOL project.- Microgravity Science Technology, vol. 16, p. 20-, 2005 Billia B., Nguyen Thi H., Reinhart G., Dabo Y., Zhou B.H., Liu Q.S., Lyubimova T.P., Roux B., Lan C.W.- Tailoring of dendritic microstructure in solidification processing by crucible vibration / rotation.- Microgravity Science Technology, vol. 16, p. 15-, 2005 Bocquet F., Maurel C., Roussel J.M., Abel M., Koudia M., Porte L.- Segregation-mediated capping of Volmer-Weber Cu islands grown onto Ag(111).- Physical Review B, vol. 71, p. 075405-, 2005 Bouquet V., Canet P., Lalande F., Bouchakour R., Mirabel J.M.- Non volatile memory cell design : coupling ratio impact on tunnel oxide reliability.- Journal of Non-Crystalline Solids, vol. 351, n° 2123, p. 1873-1877, 2005 Branbilla A., Giovanelli L., Vilmercati P., Cattoni A., Biagioni P., Goldoni A., Finazzi M., Duò L..- Rbintercalated C60 compounds studies by photoemission and inverse photoemission spectroscopy.Journal of Electron Spectroscopy and Related Phenomena, vol. 144-147C, p. 803-, 2005 178 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Bravaix A., Goguenheim D., Huard V., Denais M., Parthasarathy C., Perrier F., Revil N., Vincent E.Impacts of the recovery phenomena on the worst-case of damage in DC/AC stressed ultra-thin NO gate-oxide MOSFETs.- Microelectronics Reliability, vol. 4, n° 9-11, p. 1370-1375, 2005 Brutin D., Tadrist L.- Comment to "A study of laminar flow of polar liquids through circular microtubes" [Phys.Fluids [16], 1267 (2004)].- Physics of Fluids, vol. 17, p. 019101, 2005 Brutin D., Tadrist L.- Destabilization mechanisms and scaling laws of convective boiling in a minichannel.- Journal of Thermophysics and Heat Transfer, sous-presse, 2005 Brutin D., Tadrist L.- Modeling of surface-fluid electrokinetic coupling on the laminar flow friction factor in microtubes.- Microscale Thermophysical Engineering, vol. 9, p. 1, 2005 Buckley J., De Salvo B., Deleruyelle D., Gely M., Nicotra G., Lombardo S., Damlencourt J.F., Hollinger Ph., Martin F., Deleonibus S.- Reduction of fixed charges in atomic layer deposited Al2O3 dielectrics.- Microelectronic Engineering, vol. 80, p. 210-213, 2005 Casadei B., Dufaza C., Martin L.- Photogate simulation model for CMOS imagers design.- WSEAS Transactions on Circuits and Systems, Issue 5, vol. 4, p. 453-461, ISBN 1109-2734, May 2005 Castellani-Coulié K., Munteanu D., Autran J.L., Ferlet-Cavrois V., Paillet P., Baggio J.- Simulation analysis of the bipolar amplification induced by heavy-ion irradiation in double-gate MOSFETs.IEEE Transactions on Nuclear Science, vol. NS-52, p. 2137- 2143, 2005 Castellani-Coulié K., Munteanu D., Ferlet-Cavrois V., Autran J.L.- Simulation analysis of the bipolar amplification in fully-depleted SOI technologies under heavy-ion irradiations.- IEEE Transactions on Nuclear Science, vol. NS-52, p. 1474-1479, 2005 Cavassilas N., Bescond M., Autran J.L.- Improvement of current-control induced by oxide notch in very short field-effect transistor.- Applied Physics Letters, vol. 87, p. 73509-73512, 2005 Chabre F., Ghorayeb A. M., Millet P., Pashchenko V. A. and Stepanov A.- Low-temperature behavior of the ESR linewidth in a system with a spin gap : eta-Na1.286V2O5.- Physical Review B, vol. 72, p. 012415 (1 à 4), 2005 Chaillan F., Courmontagne P.- Amélioration par utilisation du filtrage adapté stochastique de la détection de sillages sur des images SAR.- Traitement du Signal, acceptée, 2005 Chung J.-H., Proffen Th., Shamoto S., Ghorayeb A.M., Croguennec L., Tian W., Sales B.C., Jin R., Mandrus D. and Egami T.- Local structure of LiNiO2 studied by neutron diffraction.- Physical Review B, vol. 71, p. 064410 (1 à 11), 2005 Courmontagne P.- An improvement of ship wake detection based on the radon transform.- Signal Processing, vol. 85, n° 8, p. 1634-1654, 2005 Deleruyelle D., Molas G., DeSalvo B., Gely M., Lafond D.- Single-electron phenomena in ultrascaled floating-gate devices and their impact on electrical characteristics.- Solid-State Electronics, vol. 49, p.1728-1733, 2005 De Luigi, C. et Jauffret C.- Estimation and classification of FM signals using time frequency transforms.- IEEE Transactions on Aerospace and Electronic Systems, vol 41, n° 2, p. 421-437, 2005 Drillet P, Dulcy C, Pazsko F, Mangelinck D., Gas P., Clugnet G., Bergman C., Vaughan G.- Real time synchrotron analysis of the initial stages of the galvanization process in Al containing Zn baths.- Revue de Métallurgie,Cahiers d’Informations Techniques, vol. 102, n° 1, p. 75-78, 2005 El Hadadi B., Carchano H., Seguin J.L., Tijani H.- Structural and electrical properties of amorphous GaAs sputtered at high substrate temperature (220 and 400°C).- Vacuum, vol. 80, p. 272-283, 2005 PRODUCTIONS 179 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Ernst R., Mangelinck-Noël N., Hamburger J., Garnier C., Ramoni P.- Grain size reduction by electromagnetic stirring inside gold alloys.- European Physical Journal - Applied Physics, vol. 30, p. 215-222, 2005 Feltrin A., Michelini F., Staehli JL. et al.- Localization-dependent photoluminescence spectrum of biexcitons in semiconductor quantum wires.- Physical Review Letters, vol. 95, n° 17, p. 177404, 2005 Garandet J.P., Boutet G., Lehmann P., Drevet B., Camel D., Rouzaud A., Favier J.J., Faivre G., Coriell S., Alexander J.I.D., Billia B.- Morphological stability of a solid – liquid interface and cellular growth : Insights from thermoelectric measurements in microgravity experiments.- Journal of Crystal Growth, vol. 279, p. 195-205, 2005 Gaubert J., Egels M., Pannier P., Bourdel S.- Design method for broadband CMOS RF LNA.Electronics Letters, vol. 41, n° 7, p. 382-384, 2005 Gilibert F., Rideau D., Dray A., Agut F., Minondo M., Juge A., Masson P., Bouchakour R.Characterization and modeling of gate-induced-drain-leakage.- IEICE Transactions on Electronics, vol. E88-C, n° 5, p. 829-837, 2005 Gillet M., Delamare R., and Gillet E.- Growth, structure and electrical properties of tungsten oxide nanorods.- European Physical Journal D, vol. 34, p. 291-294, 2005 Gillet M., K. Aguir, M. Bendahan, P. Mennini.- Grain size effect in sputtered trioxide thin films on the sensitivity to ozone.- Thin Solid Films, vol. 484, n° 1-2, p. 358-363, 2005 Gillet M., Mikaelian G., Assaban A., Gillet E.- Stresses and adhesion of thin metallic coatings on oxide substrates.- Journal of Adhesion Science and Technology, vol. 19, n° 9, p. 753-763, 2005 Gillet M., R. Delamare, E. Gillet.- Growth of epitaxial tungsten oxide nanorods.- Journal of Crystal Growth, vol. 279, p. 93-99, 2005 Giovanelli L., De Santis M., Panaccione G., Sirotti F., Vobornik I., Larciprete R., Egger S. and Rossi G.- Magnetic and electronic properties of a Pt-Co bilayer on Pt(111).- Journal of Magnetism and Magnetic Materials, vol. 288, p. 236-, 2005 Giovanelli L., Panaccione G., Rossi G., Fabrizioli M., Tian C.-S., Gastelois P. L., Fujii J., and Back C. H.- Interface magnetization profiling by X-ray magnetometry of marker impurities on Fe/GaAs(001)-(4x6).- Applied Physics Letters, vol. 87, p. 1-, 2005 Giovanelli L., Panaccione G., Rossi G., Fabrizioli M., Tian C.-S., Gastelois P. L., Fujii J., and Back C. H.- Layer selective spectroscopy on Fe/GaAs(001) : influence of the interface on the magnetic properties.- Physical Review B, vol. 72, p. 45221, 2005 Goguenheim D., Bravaix A., Gomri S., Moragues J.M., Monserie C., Legrand N., Boivin P.- Impact of wafer charging on hot carrier reliability and optimization of latent damage detection methodology in advanced CMOS technologies.- Microelectronics Reliability, vol. 45, p. 487-492, 2005 Gomri S., Seguin J.L., Aguir K.- Modeling on oxygen chemisorption-induced noise in metallic oxide gas sensors.- Sensors and Actuators B : Chemical, vol. 107, n° 2, p. 722-729, 2005 Goux L., Lisoni J.G., Schwitters M., Paraschiv V., Maes D., Haspeslagh L., Wouters D.J., Menou N., Turquat Ch., Madigou V., Muller Ch., Zambrano R.- Composition control and ferroelectric properties of sidewalls in integrated 3-dimensional Sr0.8Bi2.2Ta2O9-based ferroelectric capacitors.- Journal of Applied Physics, vol. 98, n° 5, p. 054507 (1-7), 2005 Goux L., Russo G., Menou N., Lisoni J.G., Schwitters M., Paraschiv V., Maes D., Artoni C., Corallo G., Haspeslagh L., Wouters D.J., Zambrano R., Muller Ch.- A highly reliable 3-dimensional integrated SBT ferroelectric capacitor enabling FeRAM scaling.- IEEE Transactions on Electron Devices, vol. 52, n° 4, p. 447-453, 2005 180 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Guérin J., K. Aguir, M. Bendahan and C. Lambert-Mauriat.- Thermal modelling of a WO3 ozone sensor response.- Sensors and Actuators B : Chemical, vol. 104, n° 2, p. 289-293, 2005 Guérin R., Debierre J.M., Kassner K.- Growth patterns in a channel for singular surface energy : phase-field model.- Physical Review E, vol. 71, p. 011603, 2005 Guinneton F., Monnereau O., Argeme L., Stanoi D., Socol G., Mihailescu I.N., Zhang T., Grigorescu C., Trodahl H.J., Tortet L., PLD thin films obtained from CrO3 and Cr8O21 targets.- Applied Surface Science, vol. 247, n° 1-4, p. 139-144, 2005 Guinneton F., Sauques L, Valmalette J.C., Cros F., Gavarri J.R.- Role of surface defects and microstructure in infrared optical properties of thermochromic Vo2 materials.- Journal of Physics and Chemistry of Solids, vol. 66, n° 1, p. 63-73, 2005 Houssa M.- Modelling negative bias temperature Microelectronics Reliability, vol. 45, p. 3-12, 2005 instabilities in advanced p-MOSFETs.- Houssa M., De Jaeger B., Delabie A., Van Elshocht S., Afanas’ev V.V., Autran J.L., Stesmans A., Meuris M., Heyns M.M.- Electrical characteristics of Ge/GeOx/HfO2/TaN structures.- Journal of Non-Crystalline Solids, vol. 351, p. 1902-1905, 2005 Huard V., Denais M., Parthasarathy C., Ribes G., Perrier F., Revil N., Bravaix A.- Review on NBTI and PBTI degradation in deep submicrometer CMOS technologies.- Microelectronics Reliability, acceptée, 2005 Huard V., Denais M., Perrier F., Revil N., Parthasarathy C., Bravaix A., Vincent E.- A thorough investigation of MOSFETs NBTI degradation.- Microelectronics Reliability, special issue, vol. 45, n° 1, p. 83-98, 2005 Isa M., Valmalette J.C., Muller C., Leroux C.- Evolution in time of a gold-zirconia nanopowder at room temperature : nucleation-growth of gold nanoparticles.- Chemistry of Materials, vol. 17, n° 24, p. 5920-5927, 2005 Ismail B., M. Descoins, A. Ronda, F. Bassani, G. Bremond, H. Maaref, Berbezier I.- Effect of selfpatterned Si1-xGex template layer on the structural and optical properties of Ge dots.- Journal of Vacuum Science and Technology B, vol. 23, n° 1, p. 242-246, 2005 Jennane A., Bernardini J., Moya G.- Defect recovery studied by positron lifetime measurements in quenched and electron irradiated dinickel silicide.- Physical and Chemical News, vol. 24, p. 76-79, 2005 Kassner K., C. Misbah, J-M. Debierre.- Nonlocal interface equations in crystal growth.- Journal of Crystal Growth (Proceedings of the 14th International Conference on Crystal Growth and the 12th International Conference on Vapor Growth and Epitaxy), vol. 275, n° 1-2, e183, 2005 Labidi A., C. Jacolin, M. Bendahan, A. Abdelghani, J. Guérin, K. Aguir and M. Maaref.- Impedance spectroscopy on WO3 gas sensor.- Sensors and Actuators B : Chemical, vol. 106, n° 2, p. 713718, 2005 Lannoo M.- Atomistic nanodevice simulation.- Solid State Phenomena, vol. 108-109, p. 787-, 2005 Lauque P., Bendahan M., Seguin J.L., Knauth P.- Application of CuBr ion conductor thin films for ammonia detection.- Materials Research Society Symposium, vol. 835, p. -, 2005 Leandri C., Le Lay G., Aufray B., Girardeaux C., Avila J., Dávila M.E., Asensio M.C., Ottaviani .-C., Cricenti A.- Self-aligned silicon quantum wires on Ag (110).- Surface Science, vol. 574, n° 1, p. L9-L15, 2005 Lee P.S., Pey K.L., Mangelinck D., Chi D.Z., Osipowicz T.- On the morphological changes of Ni- and Ni(Pt)-silicides.- Journal of the Electrochemical Society, vol. 152, n° 4, p. G305-G308, 2005 Lombardo P., Daré A.M., Hayn R.- Effect of Hund's exchange on the spectral function of a triply orbital degenerate correlated metal.- Physical Review B, vol. 72, p. 245115, 2005 PRODUCTIONS 181 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Lyubimov D.V., Lyubimova T.P., Tcherepanov A.A., Roux B., Billia B., Nguyen Thi H.- Vibration influence on morphological instability of a solidification front.- Microgravity Science Technology, vol. 16, p. 290-, 2005 Malko A., Baier M.H., Pelucchi E., Michelini F., Karlsson K.F., Dupertuis M.A., Kapon E.- Singlephoton emission from pyramidal quantum dots: The impact of hole thermalization on photon emission statistics.- Physical Review B, vol. 72, n° 19, p. 195332, 2005 Manea A.S., Monnereau O., Notonier R., Guinneton F., Logofatu C., Tortet L., Garnier A., Mitrea M., Negrila C., Branford W., Grigorescu C.E.A.- Heusler bulk materials as targets for pulsed laser deposition: growth and characterization.- Journal of Crystal Growth, vol. 275, n° 1-2, p. 17871792, 2005 Mangelinck-Noel N., Nguyen Thi H., Reinhart G., Schenk T., Cristiglio V., Dupouy M.D., Gastaldi J., Billia B., Härtwig J., Baruchel J.- In situ analysis of equiaxed growth of aluminium - nickel alloys by x-ray radiography at ESRF.- Journal of Physics D - Applied Physics, vol. 38, p. A28-A32, 2005 Maurel C., Abel M., Koudia M., Bocquet F., Porte L.- Pit formation and segregation effects during Cu thin film growth on Ag(111).- Surface Science, vol. 596, p. 45–52, 2005 Menou N., Castagnos A-M., Muller Ch., Goguenheim D., Goux L., Wouters D.J., Hodeau J-L., Barrett R.- Degradation and recovery of polarization under synchrotron X-rays in SrBi2Ta2O9 ferroelectric capacitors.- Journal of Applied Physics, vol. 97, n° 4, p. 044106, 2005 Menou N., Muller Ch., Baturin I.S., Kuznetsov D.K., Shur V.Ya., Hodeau J-L., Schneller T.- In situ synchrotron x-ray diffraction study of electrical field induced fatigue in Pt/PbZr0.45Ti0.55O3/Pt ferroelectric capacitors.- Journal of Physics - Condensed Matter, vol. 17, p. 7681-7688, 2005 Menou N., Muller Ch., Baturin I.S., Shur V. Ya, Hodeau J-L.- Polarization fatigue in PbZr0.45Ti0.55O3-based capacitors studied from high resolution synchrotron X-ray diffraction.Journal of Applied Physics, vol. 97, n° 6, p. 064108, 2005 Menou N., Turquat Ch., Madigou V., Muller Ch., Goux L., Lisoni J., Schwitters M. and Wouters D. J.- Sidewalls contribution in integrated three-dimensional Sr0.8Bi2.2Ta2O9-based ferroelectric capacitors.- Applied Physics Letters, vol. 87, p. 073502, 2005 Merabtine R., Dallas J.P., Cornet M.- Creep strengthening of Ni3(Al, Si) intermetallic alloy by ductile precipitates.- Intermetallics, vol. 13, n° 2, p. 179-186, 2005 Mertz D., Hayn R., Opahle I., Rosner H.- Calculated magnetocrystalline anisotropy and magnetic moment distribution in Li2Cu02.- Physical Review B, vol. 72, p. 085133, 2005 Moth-Poulsen K., Patrone L., Stuhr-Hansen N., Christensen J.B., Bourgoin J.P., Bjornholm T.Probing the effects of conjugation path on the electronic transmission through single molecules using scanning tunneling microscopy.- Nano Letters, vol. 5, n° 4, p. 783-, 2005 Munteanu D., Autran J.L., Harrison S.- Quantum short-channel compact model for the threshold voltage in double-gate MOSFETs with high-k gate dielectrics.- Journal of Non-Crystalline Solids, vol. 351, p. 1911-1918, 2005 Munteanu D., Autran J.L., Harrison S., Nehari K., Tintori O., Skotnicki T.- Compact model of the quantum short-channel threshold voltage in symmetric Double-Gate MOSFET.- Molecular Simulation, vol. 31, p. 831-837, 2005 Nemouchi F., Mangelinck D. Bergman C., Gas P.- Differential scanning calorimetry analysis of the linear parabolic growth of nanometric Ni silicide thin films on a Si substrate.- Applied Physics Letters, vol. 86, p. 041903-05, 2005 Nguyen Thi H., Dabo Y., Drevet B., Dupouy M.D., Camel D., Billia B., Hunt J.D., Chilton A.Directional solidification of Al – 1.5 wt% Ni alloys under diffusion transport in space and fluid-flow localisation on earth.- Journal of Crystal Growth, vol. 281, p. 654-, 2005 182 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Nguyen Thi H., Reinhart G., Zhou B.H., Billia B., Liu Q.S., Lyubimova T.P., Roux B.- Tailoring of dendritic microstructure in solidification processing by crucible vibration.- Journal of Crystal Growth, vol. 275, p. E1579-, 2005 Nyeki J., C. Girardeaux, Z. Erdelyi, A. Csik, L. Daroczi, G. Langer, D.L. Beke, A. Rolland, J. Bernardini and G. Erdélyi.- Sb diffusion and segregation in amorphous Si thin films.- Defect and Diffusion Forum, vol. 237-240, p. 1246, 2005 Nyeki J., Erdelyi G., Lexcellent C., Bernardini J., Beke D.L.- Grain boundary diffusion of Ni in NiTi shape-memory alloy.- Defect and Diffusion Forum, vol. 237-240, p. 543, 2005 Ouzaouit K., Benlhachemi A., Aneflous L., Benyaich H., Gavarri J.R., Musso J.A.- The influence of synthesis way and dopant on the crystallite sizes of ceria.- Journal de Physique IV France, vol. 123, p. 125-130, 2005 Paret J.- Long-time dynamics of the three-dimensional biaxial Grinfeld instability.- Physical Review E, vol. 72, p. 011105, 2005 Pedio M., Borgatti F., Giglia A., Mahne N., Nannarone S., Giovannini S., Cepek C., Magnano E., Giovanelli L., Bertoni G., Spiller E., Sancrotti M., Floreano L., Gotter R., Morgante A.- Annealing temperature dependence of C60 on silicon surfaces : bond evolution and fragmentation as detected by NEXAFS.- Physica Scripta, vol. T115, p. 695-, 2005 Perniola L., Bernardini S., Iannaccone G., Masson P., DeSalvo B., Ghibaudo G., Gerardi C.Analytical model of the effects of a nonuniform distribution of stored charge on the electrical characteristics of discrete-trap nonvolatile memories.- IEEE Transactions on Nanotechnology, vol. 4, n° 3, p. 360–368, 2005 Pfeiffer O., Gnecco E., Zimmerli L., Maier S., Meyer E., Nony L., Bennewitz R., Diederich F., Fang H., Bonifazi D.- Force microscopy on insulators : Imaging of organic molecules.- Journal of Physics - Conference Series, vol. 19, p. 166–174, 2005 Portal J.M., Aziza H., Née D.- EEPROM diagnosis based on threshold voltage embedded measurement.- Journal of Electronic Testing (JETTA), vol. 21, p. 33-42, 2005 Ranica R., Villaret A., Malinge P., Candelier P., Masson P., Bouchakour R., Mazoyer P., Skotnicki T.- Modelling of the 1T-Bulk capacitor-less DRAM cell with improved performances : the way to scaling.- Solid-State Electronics, Solid-State Electronics, vol. 12, n° 12, p. 1776-1777, 2005 Ranica R., Villaret A., Mazoyer P., Monfray S., Chanemougame D., Masson P., Regnier A., Dray C., Waltz P., Bez R., Bouchakour R., Skotnicki T.- A new 40nm SONos structure based on backside trapping for nanoscale memories.- IEEE Transactions on Nanotechnology, vol. 4, n° 5, p. 581–587, 2005 Razafindramora J., P. Canet, F. Lalande, R. Bouchakour, P. Boivin, J-M. Mirabel.- Study of stressed oxides degradation : application to the prediction of a non-volatile memory cell endurance.Journal of Non-Crystalline Solids, vol. 351, p. 1878-1884, 2005 Regnier A., Laffont R., Bouchakour R., Mirabel J.M.- A new architecture of dual control gate EEPROM.- Journal of Non-Crystalline Solids, vol. 351, n° 21-23, p. 1906-1910, 2005 Reinhart G., Mangelinck-Noël N., Nguyen-Thi H., Schenk T., Gastaldi J., Billia B., Pino P., Härtwig J., Baruchel J.- Investigation of columnar-equiaxed transition and equiaxed growth of aluminium based alloys by X-ray radiography.- Materials Science and Engineering A, vol. 413-414, p. 384388, 2005 Rey-Tauriac Y., Badoc J., Reynard B., Bianchi R.A., Lachenal D., Bravaix A.- Hot-carrier reliability of 20V MOS transistors in 0.13 µm CMOS technology.- Microelectronics Reliability, vol. 45, n° 911, p. 1349-1354, 2005 Robert-Inacio F.- Symmetry parameters for 3D pattern classification.- Pattern Recognition Letters, vol. 26, n° 11, p. 1732-1739, 2005 PRODUCTIONS 183 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Salhi F., Berrada A., Aride J., Bernardini J., Moya G.- Analysis of isothermal and non isothermal recrystallization kinetics of straight rolled silver.- Physical and Chemical News, vol. 23, p. 1-8, 2005 Schäfer S., Raymond L., Albinet G.- Dielectric resonances of ordered passive arrays.- European Physical Journal B, vol. 43, p. 81-, 2005 Schenk T., Gastaldi J., Nguyen Thi H., Reinhart G., Mangelinck-Noel N., Klein H., Hartwig J., Grushko B., Billia B., Baruchel J.- Application of Synchrotron X-ray imaging to the study of directional solidification of aluminium-based alloys.- Journal of Crystal Growth, vol.275, p. 201-, 2005 Scheybal A., Ramsvik T., Bertschinger R., Putero M., Nolting F., Jung T.A.- Induced magnetic ordering in a molecular monolayer.- Chemical Physics Letters, vol. 411, n° 1-3, p. 214-220, 2005 Si Ahmed A., Kansy J., Zarbout K., Moya G., Liebault J., Goeuriot D.- Microstructural origin of the dielectric breakdown strength in alumina: A study by positron lifetime spectroscopy.- Journal of the European Ceramic Society, vol. 25, p. 2813-2816, 2005 Stanoi D., Socol G., Grigorescu C., Guinneton F., MonnereauO., Tortet L., Zhang T., Mihailescu I.N.- Chromium oxides thin films prepared and coated in situ with gold by pulsed laser deposition.Materials Science and Engineering B, vol. 118, n° 1-3, p. 74-78, 2005 Suchodolskis A., Assmus W., Babonas G.-J., Giovanelli L., Karlsson U. O., Karpus V., Le Lay G., Reza A., Uhrig E.- Photoemission and optical spectroscopy of i-ZnMgEr quasicrystal.- Acta Physica Polonica A, vol. 107, n° 2, p. 412-, 2005 Tortet L., Guinneton F., Monnereau O., Stanoi D., Socol G., Mihailescu I.N., Zhang T., Grigorescu C.- Optimization of targets for Pulsed Laser Deposition of CrO2 thin films.- Crystal Research and Technology, vol. 12, n° 40, p. 1124-1128, 2005 Trapes C., Goguenheim D., Bravaix A.- Ultrathin oxide reliability after combined constant voltage stress and substrate hot electron injection.- Journal of Non-Crystalline Solids, vol. 351, n° 21-23, p. 1860-1865, 2005 Trapes C., Goguenheim D., Bravaix A.- Experimental extraction of degradation parameters after constant voltage stress and substrate hot electron injection on ultrathin oxides.- Microelectronics Reliability, vol. 45, p. 883-886, 2005 Trivedi R., Bergeon N., Billia B., Echebarria B., Karma A., Liu S., Mangelinck N., Weiss C.- In situ characterization of interface-microstructure dynamics in 3D-directional solidification of model transparent alloys.- Microgravity Science Technology, vol. 16, p. 133-, 2005 Valerio E., Grigorescu C., Manea S.A., Guinneton F., Branford W., Autric M.- Pulsed laser deposition of thin films of various full Heusler alloys Co2MnX (X=Si, Ga, Ge, Sn, SbSn) at moderate temperature.- Applied Surface Science, vol. 247, n° 1-4, p. 151-156, 2005 Villaret A., Ranica R., Malinge P., Masson P., Mazoyer P., Candelier P., Skotnicki T.- Further insight on the modelling and characterization of triple-well capacitorless DRAMs.- IEEE Transactions on Electron Devices, vol. 52, n° 11, p. 2447-2454, 2005 Weiss C., Bergeon N., Mangelinck-Noël N., Billia B.- Effects of the interface curvature on cellular and dendritic microstructures.- Materials Science and Engineering A, vol. 413-414, p. 296-301, 2005 Zaïdat K., Ouled-Khachroum T., Vian G., Garnier C., Mangelinck-Noël N., Dupouy M.D., Moreau R.Directional solidification of refined Al-3.5 wt % Ni under natural convection and under a forced flow driven by a travelling magnetic field.- Journal of Crystal Growth, vol. 275, p. E1501-, 2005 Zarbout K., Moya G., Kallel A.- Determination of the electron beam irradiated area by using a new procedure deriving from the electron beam lithography technique.- Nuclear Instruments and Methods in Physics Research B, vol. 234, p. 261-268, 2005 184 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Zarbout K., Moya-Siesse D., Moya G., Kallel A.- Determination of the electron beam irradiated area by using a charging effect in oxide surfaces.- Journal of Non-Crystalline Solids, vol. 351, p. 1791-1795, 2005 Benarchid Y., Rogez J.- The effect of Cr2O3 and P2O5 additions on the phase transformations during the formation of calcium sulfoaluminate C4A3S.- Cement and Concrete Research 35, 2074-2080, (2005) Benarchid Y., Rogez J., Diouri A., Boukhari A., Aride J.- Formation and hydraulic behaveior of chromium-phosphorus doped calcium sulfoaluminate cement.- Thermochimica Acta 433, 183-186 (2005) Bocquet F., Maurel C., Roussel J.M., Abel M., Koudia M., Porte L.- Segregation mediated capping of Volmer-Weber Cu islands grown onto Ag(111).- Phys. Rev. B 71 (2005) 075405 Charvet S., Le Bourhis E., Faurie D., Goudeau P., Lejeune M., Gergaud P.- Evolution under annealing and nitrogen implantation of the mechanical properties of amorphous carbon films.- Thin solid Films 482, 318- 323 (2005) Chérault N., Carlotti G., Casanova N., Gergaud P., Goldberg C., Thomas O., Verdier M.- Mechanical characterization of low−k and barrier dielectric thin films.- Microelectronic Engineering, 82, 368 (2005) Coulet M-V., Testemale D, Hazemann . J-L., Gaspard J-P. , Bichara C.- Reverse Monte Carlo analysis of the local order in liquid Ge0.15Te0.85 alloys combining neutron scattering and x-ray absorption spectroscopy.- Phys. Rev. B. 72(17) 366- 371 (2005) Deschamps A., Genevois C., Dumont-Nicolas M., Perrard F., Bley F.- Study of precipitation kinetics: towards non-isothermal and coupled phenomena.- Phil. Mag. A 85, 3091-3112 (2005) Dolino G., Bastie P., Capelle B., Chamard V., Härtwig J. , Guzzo P.L.- Origin of the opalescence at the α−β transition of quartz: role of the incommensurate phase studied by synchrotron radiation.Phys. Rev. Lett. 94, 465 (2005) Dumont M., Lefebvre W., Doisneau-Cottignies B., Deschamps A.- Characterisation of the composition and volume fraction of eta ' and eta precipitates in an Al-Zn-Mg alloy by a combination of atom probe, small-angle X-ray scattering and transmission electron microscopy.Acta Mater. 53, 2881-2892 (2005) Elkhadiri I., Diuori A., Boukhari A., Rogez J.- Thermodynamic variations in the decarbonation of low calcium fly ash-cement raw mix.- Materiales de Construccion 55 41-49 (2005) Feklisova O. V., Pichaud B., Yakimov E.B.- Annealing effect on the electrical activity of extended defects in plastically deformed p-Si with low dislocation density.- Phys. Stat. Sol. A 202, 896-900 (2005) Goudeau P., Vandenbulcke L., Met C., De Barros M.I., AndreAzza P., Thiaudiere D., Gailhanou M.X-ray diffraction analysis of residual stresses in smooth fined-grain diamond coatings deposited on TA6V alloys.- Surface & Coatings Technology, vol.200, no.1-4,. 170-3. (2005) Goudeau P., Mendibide C., Steyer P., Esnouf C., Thiaudiere D., Gailhanou M., Fontaine J.- X-ray diffraction analysis of the residual stress state in PVD TiN/CrN multilayer coatings deposited on tool steel.- Surface & Coatings Technology, vol.200, no.1-4, 165-9. (2005) Hassam S., Bahari Z.- Equilibrium phase diagram of the Ag-Au-Pb ternary system.- Journal of Alloys and Compounds 392,120-126 (2005) Idrissi H., Lancin M., Douin J., Regula G., Pichaud B.- Dynamical study of dislocations and 4H to 3C transformation induced by stress in (11-20) 4H-SiC.- Mat. Sci. Forum 483-485, 299-302 (2005) Idrissi H., Regula G., Lancin M., Douin J., Pichaud B.- Study of Shockley partial dislocation mobility in highly N-doped 4H-SiC by cantilever bending.- Phys. Stat Sol C 6, 1998-2003 (2005) PRODUCTIONS 185 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Lamzatouar A., Palais O., Hardouin-Duparc O.B.M., Thibault J., Charai A.- Relationship between structure, segregation and electrical activity in grain boundaries.- Journ. Mat. Sci. 40, 3163-7 (2005) Legros M., Kaouache B., Gergaud P., Thomas O., Dehm G., Balk T. J., Arzt E.- Pipe-Diffusion ripening of Si precipitates in Al-0.5% Cu-1%Si thin films.- Phil. Mag. A 85, 3541-3552 (2005) Martinuzzi S., Ferrazza F., Périchaud I.- Improved p type or raw n type multicrystalline silicon wafers for solar cells.- Solid State Phenom. 108-109, 525 (2005) Martinuzzi S., Palais O., Pasquinelli M., Ferrazza F.- N-type multicrystalline silicon and rear junction solar cells.- Europhys. Journ.: Appl. Phys. 32, 187 (2005) Maurel C., Abel M., Koudia M., Bocquet F., Porte L.- Pit formation and segregation effect during Cu thin film growth on Ag(111).- Surf. Science 596, 45-52 (2005) Mendibide C., Steyer P., Esnouf C., Goudeau P., Thiaudiere D., Gailhanou M.- Fontaine J.- X-ray diffraction analysis of the residual stress state in PVD TiN/CrN .- multilayer coatings deposited on tool steel.- Surf. and Coating Technol. 200, 165-169 (2005) Michel J. P., Lacaze E., Goldmann M., Gailhanou M., de-Boissieu M., Alba M.- Revealing the structure of focal conics cores and their influence on the evolution with temperature: An x-ray study of ultra-thin 8CB films.- Mol. Cryst. and Liquid Cryst. 437, 1343-1353 (2005) Morris S.J., Fougeres P., Bozzo-Escoubas S., Bodnar S., Gaillard S.- Simultaneous optical measurement of Ge content and doping in strained epitaxial films using a novel data-analysis technique.- Mat. Science in Semiconductor Processing, 8, 261-266 (2005) Ottaviani L., Barakel D. , Vervisch V., Pasquinelli M.- Electrical characterizations of hydrogenated 4H-SiC epitaxial samples.- Solid State Phenomena 108-109, 677-682 (2005) Ottaviani L., Idrissi H., Hidalgo P., Lancin M., Pichaud B.- Structure and electrical studies of partial dislocations and faults in (11-20)-oriented 4H-SiC.- Phys. Stat Sol C 6, 1792-6 (2005) Palais O., Lemiti M., Lelievre J-F. , Martinuzzi S.- Comparison of efficiencies of different surface passivations Applied to crystalline silicon.- Solid State Phenom. 108-109, 585 (2005) Paris S., Gaffet E., Vrel D., Thiaudiere D., Gailhanou M., Bernard F.- Time-resolved XRD experiments for a fine description of mechanisms induced during reactive sintering.- Science of Sintering 37 (1): 27-34 (2005) Radtke G., Maunders C., Lazar S., de Groot F.M.F, Botton G.A.- The role of Mn in the electronic structure of Ba3Ti2MnO9.- J. Sol. Stat. Chem. 178, 3436 (2005) Regula G., Lancin M., Idrissi H., Pichaud B., Douin J.- Structural characterization of double stacking faults induced by cantilever bending in nitrogen-doped 4H-SiC.- Phil. Mag. Letters 85, 259-267 (2005) Roussel J.M., Bellon P.- Long-lived transient vacancy distribution in multilayers.- Defect and Diffusion Forum, 237-240, 531-536 (2005) Rivero C., Gergaud P., Gailhanou M., Boivin P., Fornara P., Niel S., Thomas O.- Stress developement and relaxation during reaction of a cobalt film with a silicon substrate.- Defect and Diffusion Forum 237-240, 518-523 (2005) Rivero C., Gergaud P., Gailhanou M., Thomas O., Froment B., Jaouen H., Carron V.- Combined synchrotron x-ray diffraction and wafer curvature measurements .- during Ni-Si reactive film formation .- Appl. Phys. Letters 87, 48-50 (2005) Testemale D., Coulet M-V., Hazemann J-L., Simon J.-P., Bley F., Geaymond O., Argoud R..- Small angle x-ray scattering of a supercritical electrolyte solution: The effect of density fluctuations on the hydration of ions.- Journ. Chem.Phys. 122, 194505-1-7 (2005) 186 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Texier M., Proult A., Bonneville J.- On the plasticity of Al-Cu-Fe quasicrystals .- Mat. Sci. & Engin. A, 400-401, 315 (2005) Texier M., Thilly L., Proult A., Bonneville J., Rabier J.- Shear experiments under confining pressure conditions of Al-Pd-Mn single quasicrystals.- Mat. Sci. & Engin. A, 400-401, 311 (2005) Thomas O., Gergaud P., Rivero C., d’Heurle F.- Stress development during the reactive formation of silicide films.- Defect and Diffusion Forum 237-240, 801-812 (2005) Zahra A-M., Zahra C. , Dumont M.- Effects of Ag or Si on precipitation in the alloy Al-2.5%Cu1.5%Mg.- Phil. Mag. A 85 , 3735-3754 (2005) Enoch S., Simon J.-J., Escoubas L., Elalamy Z., Lemarquis F., Torchio P., Albrand G.- Simple layerby-layer photonic crystal for the control of thermal emission.- Applied Physics Letters, vol. 86, p. 261101-, 2005 Mazingue T., Escoubas L., Spalluto L., Flory F., Socol G., Ristoscu C., Axente E., Grigorescu S., Mihailescu I.N., Vainos N.- Nanostructured ZnO coatings grown by pulsed laser deposition for optical gas sensing of butane.- Journal of Applied Physics, vol. 98, p. 074312-, 2005 2006 Ahoussou A.P., Rogez J., Kone A.- Enthalpy of mixing in 0.8[xB2O3-(1-x)SiO2]-0.2K2O glass system at 973 K.- Thermochimica Acta, vol. 447, p. 109-111, 2006 Ahoussou A.P., Rogez J., Kone A.- Enthalpy of mixing in 0.8[xB2O3-(1-x)P2O5]-0.2Na2O glass system at 298 K.- Thermochimica Acta, vol. 441, p. 96-100, 2006 Ardhaoui K., Coulet M-V., Ben-Cherifa A., Carpena J., Rogez J., Jemal M.- Standard enthalpy of formation of neodymium fluorbritholites.- Thermochimica Acta, vol. 444, n° 2, p. 190-194, 2006 Ardhaoui K., Rogez J., Ben Cherifa A., Jemal M., Satre P.- Standard enthalpy of formation of lanthanium oxybritholites.- Journal of Thermal Analysis and Calorimetry, sous presse, 2006 Barthélemy H.- Foreword - special issue of TAISA'2005.- Springer Analog Integrated Circuit and Signal Processing, published online http://springerlink.metapress.com/content/1573-1979/, to be published in printed version, ISSN 0925-1030 (Print) 1573-1979 (Online) Bécu S., S. Crémer, J.L. Autran.- Microscopic model for dielectric constant in metal-insulator-metal capacitors with high permittivity metallic oxides.- Applied Physics Letters, vol. 88, p. 052902-1, 2006 Bergman C.,Girardeaux C., Perrin C., Gas P., Chatain D., Dubois J.M., Rivier N.R.- Wetting of decagonal Al13Co4 and cubic AlCo thin films by liquid Pb.- Philosophical Magazine, vol. 86, n° 68, p. 849854, 2006 Bernardini J, Girardeaux C., Rolland A.- Experimental evidence of iron segregation in copper grain boundaries as deduced from type B diffusion measurements.- Defect and Diffusion Forum, vol. 249, p. 161, 2006 Billia B., Nguyen-Thi H., Reinhart G., Mangelinck N., Gastaldi J., Schenk T., Hartwig J., Baruchel J., Cristiglio V., Grushko B., Klein H.- Studies by in situ and real-time synchrotron imaging of interface dynamics and defect formation in solidification processing.- Advances in Science and Technology, vol. 46, p. 1-10, 2006 Boa D., Hassam S., Rogez J., Kotchi K.P.- Thermodynamic investigation of the moderately dilute liquid Bi-Fe-Sb alloys.- Thermochimica Acta, vol. 444, p. 86-90, 2006 Bocquet F., Bernier N., Saikaly W., Brosset C., Thibault J., Charaï A.- Evolution of ELNES spectra as function of experimental settings for any uniaxial specimen: a fully relativistic study.- Journal Ultramicroscopy, acceptée et accessible en ligne, 2006 PRODUCTIONS 187 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Borivent D., Paret J., Billia B.- Reactive interdiffusion in the binary system Ni-Si : Morphology of the Ni3Si2 phase.- Journal of Phase Equilibria and Diffusion, acceptée, 2006 Bouchet D., Lartigue-Korinek S., Molins R., Thibault J.- Yttrium segregation and intergranular defects in alumina.- Phil. Mag. A, vol. 86, p. 1401-1413, 2006 Brutin D., Tadrist L.- Destabilization mechanisms and scaling laws of convective boiling in a minichannel.- Journal of Thermophysics and Heat Transfer, sous-presse, 2006 Cacho F., G. Cailletaud, C. Rivero, P. Gergaud, O. Thomas, H. Jaouen.- Numerical modeling of stress build up during nickel silicidation under anisothermal annealing.- Materials Science and Engineering B, acceptée, 2006 Castellani K., D. Munteanu, J.L. Autran, V. Ferlet-Cavrois, P. Paillet, J. Baggio.- Investigation of 30nm gate-all-around MOSFET sensitivity to heavy ions: a 3-D simulation study.- IEEE Transactions on Nuclear Science, vol. 53, n° 4, p. 1950-1958, 2006 Castellani K., D. Munteanu, J.L. Autran, V. Ferlet-Cavrois, P. Paillet.- Analysis of 45-nm multi-gate transistors behavior under heavy ion irradiation by 3-D device simulation.- IEEE Transactions on Nuclear Science, acceptée, 2006 Chabriel G., Barrère J.- Instantaneous formulation for blind separation of propagating waves.IEEE Transactions on Signal Processing, vol. 54, n° 1, p. 49-58, 2006 Chaillan F., Courmontagne P.- Amélioration par utilisation du filtrage adapté stochastique de la détection de sillages sur des images SAR.- Traitement du Signal, acceptée, 2006 Cheynet de Beaupré V., Rahajandraibe W.,Zaid L.- 2.45-GHz-CMOS temperature compensated multi-controlled oscillator for IEEE 802.15 wireless PAN.- Springer Analog Integrated Circuit and Signal Processing, published online http://springerlink.metapress.com/content/1573-1979/, to be published in printed version, ISSN 0925-1030 (Print) 1573-1979 (Online) Chmielowski R., Madigou V., Ferrandis Ph., Zalecki R., Blicharski M., Leroux Ch.- Ferroelectric Bi3.25La0.75Bi3O12 thin films on a conductive Sr4Ru2O9 electrode obtained by pulsed laser deposition.- Thin Solid Films, acceptée, 2006 Condorelli G.G., Favazza M., Bedoya C., Baeri A., Anastasi G., Lo Nigro R., Menou N., Muller C., Lisoni J., Wouters D.J., Fragalà I.L.- Metal organic chemical vapor deposition of ferroelectric SrBi2Ta2O9 films from fluorine-containing precursor system.- Chemistry of Materials, vol. 18, no. 4, p. 1016-1022, 2006 Contaret T., G. Ghibaudo, A. Ferron and F. Bœuf.- Excess drain noise simulation in ultrathin oxides MOSFETs.- Journal of Computional Electronics, acceptée, 2006 Contaret T., K. Romanjek, T. Boutchacha, G. Ghibaudo, and F. Bœuf.- Low frequency noise characterization and modeling in ultrathin oxide MOSFETs.- Solid State Electronics, vol. 50, p. 6368, 2006 Coupeau C., Texier M., Joulain A., Bonneville J.- Nanoindentation-induced deformation in Al-Pd-Mn single quasicrystals.- Appl. Phys. Letters, vol. 88, n°7, p. 073103, 2006 Courtade L., Muller Ch., Andreoli G., Turquat Ch., Goux L., Wouters D.J.- Radiation effects on switching kinetics of three-dimensional ferroelectric capacitor arrays.- Applied Physics Letters, vol. 89, no. 11, p. 113501(1-3), 2006 Couzinié J-P., Thibault J., Décamps B., Priester L.- Extended interfacial structure between two assymetrical facets of a S=9 GB in Cu.- Int. Jour Mat. Research, vol. 97, p. 7, 2006 Dalmas J., Oughaddou H., Lelay G., Aufray B., Treglia G., Girardeaux C., Bernardini J, Fujii J., Panaccione G.- Photoelectron spectroscopy study of Pb/Ag(111) in the submonolayer range.Applied Surface Science, vol. 600, n° 6, p. 1227-1230, 2006 188 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Dehaese N., Bourdel S., Gaubert J., Bachelet Y., Barthélemy H.- Design method for CMOS currentsource modes power amplifiers based on PAE optimization.- Springer Analog Integrated Circuit and Signal Processing, published online http://springerlink.metapress.com/content/1573-1979/, to be published in printed version, ISSN 0925-1030 (Print) 1573-1979 (Online) Dubois S., Palais O., Pasquinelli M., Martinuzzi S., Jaussaud C.- Influence of substitutional metallic impurities on the performances of P-type crystalline silicon solar cells : the case of gold.- Journal of Applied Physics, acceptée, 2006 Dubois S., Palais O., Pasquinelli M., Martinuzzi S., Jaussaud C.- Influence of iron contamination on the performances of p type mc-Si solar cells. Computed and experimental results.- J. Appl. Phys., vol. 100, n° 2, sous presse, 2006 Dumont M., Steuwer A., Deschamps A., Peel M., Withers P.J.- Microstructure mapping in friction stir welds of 7449 aluminium alloy by synchrotron X-rays.- Acta Materialia, sous presse, 2006 Ehouarne L., Putero M, Mangelinck D, Nemouchi F, Bigault T, Ziegler E and Coppard R.- In-situ study of the growth kinetics and interfacial roughness during the first stages of nickel-silicide formation.- Microelectronics Engineering, acceptée, 2006 El Bouayadi R., Regula G., Lancin M., Pichaud B., Desvignes M.- Influence of metal trapping on the shape of cavities induced by high energy He+ implantation.- J. Appl. Phys., vol. 99, p. 43509, 2006 Escoubas L., Flory F., Simon J.J., Torchio P.- Guided-wave characterizations of optical, thermal and electro-optical properties of thin film materials.- International Journal of Materials and Product Technology Special Issue: “Challenges in Materials Properties Measurements”, Editor B. Vinet (Inderscience), vol. 26, n° 3-4, p. 372-387, 2006 Eustathopoulos N., Koltsov A., Dumont M., Fiqiri-Hodaj.- Influence of Ti on wetting of AlN by Nibase alloys.- Mat. Sci. Engineer. A, vol. 415, n° 1-2, p. 171-176, 2006 Gandin Ch.-A., Billia B., Zimmermann G., Browne D. J., Dupouy M-D., Guillemot G., Nguyen-Thi H., Mangelinck-Noël N., Reinhart G., Sturz L., Mc Fadden S., Banaszek J., Fautrelle Y., Zaidat K., Ciobanas A.- Columnar-to-equiaxed transition in solidification processing (CETSOL): a project of the European Space Agency – Microgravity Applications Promotion Programme.- Materials Science Forum, vol. 508, p. 393-404, 2006 Gastaldi J., Reinhart G., Nguyen-Thi H., Mangelinck-Noel N., Billia B., Schenk T., Härtwig J., Grushko B., Klein H., Buffet A., Baruchel J., Jung H., Pino P., Przepiarzynski B.- Probing the dynamics of quasicrystal growth using synchrotron live imaging.- Philosophical Magazine, acceptée, 2006 Gastaldi J., Schenk T., Reinhart G., Klein H., Härtwig J., Mangelinck-Noël N., Grushko B., Nguyen Thi H., Pino P., Billia B., Baruchel J.- In situ observation of pore evolution during melting and solidification of Al-Pd-Mn quasicrystals by synchrotron X-ray radiography.- Philosophical Magazine, vol. 86, n° 3-5, p. 335-340, 2006 Gergaud P., P. Goudeau, O. Sicardy, N. Tamura, O. Thomas.- Residual stress analysis in microand nao-structures materials by X-ray diffraction.- International Journal of Materials and Product Technology, vol. 26, p. 3-4, 2006 Gheribi A, Rogez J., Marinelli F., Mathieu J.C., Record M.C.- Introduction of pressure in binary phase diagrams calculations. Aplication to the Ag-Cu system.- Calphad, sous presse, 2006 Gheribi A., Rogez J., Mathieu J.C.- Magnetic contribution to the Gibbs energy of elements versus temperature and pressure.- J. Phys. and Chem. of Solids, sous presse, 2006 Gheribi A., Roussel J.M., Rogez J.- Phenomenological Hugoniot curves for transition metals up to 1Tpa.- Phys. Rev. B, sous presse, 2006 Girardeaux C., Aufray B., Bernardini J., Dallaporta H., Le Lay G. and Soukiassian P.- Préface.Journal de Physique IV - Proceedings, vol. 132, III-3, 2006 PRODUCTIONS 189 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Gomes J.C.C., de Souza C.P., Gomes U.U., Gavarri J.R., Dallas J.P., Leroux C.- Leaching process of cerium extraction from mixture of cerite-monazite mineral.- Materials Science Forum, vol. 514516, p. 1653-1657, 2006 Gomri S., Seguin J.L., Guérin J., Aguir K.- Adsorption–desorption noise in gas sensors : Modelling using Langmuir and Wolkenstein models for adsorption.- Sensors and Actuators B : Chemical, vol. 114, n° 1, p. 451-459, 2006 Guérin J., Aguir K., Bendahan M.- Modeling of the conduction in a WO3 thin film as ozone sensor.Sensors and Actuators B: Chemical, vol. 119, n° 1, p. 327-334, 2006 Guillermet O., Glachant A., Mossoyan M., Mossoyan J.C.- Near monolayer deposition of palladium phthalocyanine and perylene tetracarboxylic diimide on Au(001) : a STM study.- Journal de Physique IV - Proceedings, vol. 132, p. 77-81, 2006 Hamma H., Rasmussen S.B., Rogez J., Alaoui-El-Belghiti M., Eriksen K.M., Fehrmann R.Conductivity, calorimetry and phase diagram of the NaHSO4-KHSO4 system.- Thermochimica Acta, vol. 440, p. 200-204, 2006 Hankevycha V., Kyunga B., Daré A.M., Sénéchal D. and Tremblay A.-M.S.- Strong- and weakcoupling mechanisms for pseudogap in electron-doped cuprates.- Journal of Physics and Chemistry of Solids, vol. 67, n° 1-3, p. 189-192, 2006 Hoummada K., D. Mangelinck, C. Perrin, P. Gas, V. Carron, P. Holliger, Ziegler E.- Redistribution of arsenic during the reaction of nickel thin films with silicon at relatively high temperature : role of agglomeration.- Microelectronics Engineering, acceptée, 2006 Hoummada K., E. Cadel, D. Mangelinck, C. Perrin, B. Deconihout, Blavette D.- First stages of the formation of Ni silicide by atom probe tomography.- Applied Physics Letters, acceptée, 2006 Huard V., Denais M., Parthasarathy C., Ribes G., Perrier F., Revil N., Bravaix A.- Review on NBTI and PBTI degradation in deep submicrometer CMOS technologies.- Microelectronics Reliability, acceptée, 2006 Jelínek M., Kocourek T., Flory F., Escoubas L., Mazingue T., Myslík V., Vrata M., Fryçek R., Vyslou F.- Laser-deposited thin films for butane detection.- Laser Physics, vol. 16, n° 2, p. 217 –222, 2006 Juennard N., Borloz B., Jauffret C., Xerri B.- Classification by stochastic matched filtering.- WSEAS Transactions on Signal Processing, vol. 2, n° 2, p. 197-202, 2006 Kaabi H., Mliki N., Cheynet M., Saikaly W., Gilbert O., Bessais B., Yangui B., Charai A.- Structural and optical properties of vapour-etching based porous silicon.- Cryst. Res. Technol., vol. 41, p. 154, 2006 Karmous A. Berbezier I., Ronda A.- Formation and ordering of Ge nanocrystals on SiO2.- Physical Review B, vol. 73, n° 7, p. 075323, 2006 Kopia A., Chmielowska M., Leroux Ch., Gavarri J.R., Kusiński J.- Structural analyses of Nd doped CeO2 thin films deposited by means of laser ablation.- Journal of Microscopy, vol. 223, p. 3, 2006 Kuznetsov D.K., Baturin I.S., Shur V. Ya., Menou N., Muller Ch., Schneller T., Sternberg A.Kinetics of polarization reversal in irradiated thin PZT films.- Physics of the Solid State, vol. 48, no. 6, p. 1174-1176, 2006 Kuznetsov D.K., Shur V.Ya., Baturin I.S., Menou N., Muller Ch., Schneller T., Sternberg A.- Effect of penetrating irradiation on polarization reversal in PZT thin films.- Ferroelectrics, acceptée, 2006 Labidi A., Gillet E., Delamare R., Maaref M., Aguir K.- Ethanol and ozone sensing characteristics of WO3 based sensors activated by Au and Pd.- Sensors and Actuators B: Chemical, available online 29 March 2006 190 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Labidi A., Lambert-Mauriat C., Jacolin C., Bendahan M., Maaref M., Aguir K.- DC and AC Characterizations of WO3 sensors under organic vapors.- Sensors and Actuators B: Chemical, vol. 119, n° 2, p. 374-379, 2006 Lambert-Mauriat C., Oison V.- Density-functional study of oxygen vacancies in monoclinic tungsten oxide.- Journal of Physics: Condensed Matter, vol. 18, p. 7361-7371, 2006 Lancin M., Regula G., Douin J., Idrissi H., Ottaviani L., Pichaud B.- Investigation of mechanical stress-induced double stacking faults in (11-20) highly n-doped 4H-SiC combining optical microscopy TEM contrast simulation and dislocation core reconstruction.- Mat. Sci. Forum, vol. 527-529, p. 379-82, 2006 Largeron C., Quesnel E., Thibault J.- Interface growth in ion sputtering deposited Mo/Si multilayers.- Phil. Mag., sous presse, 2006 Leandri C., Aufray B., Le Lay G., Girardeaux C., Ottaviani C. and Cricenti A.- Ordered silicon structures on silver (100) at 230°C.- Journal de Physique IV - Proceedings, vol. 132, p. 311, 2006 Lollman D., Gillet E., Gillet M.- Surface reactions during O2 detection by WO3 base sensors.Sensors and Actuators B: Chemical, acceptée, 2006 Lombardo P., Hayn R. Japaridze G.I.- Insulator-metal-insulator transition and selective spectralweight transfer in a disordered strongly correlated system.- Physical Review B, vol. 74, p. 085116, 2006 Luciani X., Patrone L., Courmontagne P.- Nano-domains segmentation on AFM images.- Journal de Physique IV - Proceedings, vol. 132, p. 237-241, 2006 Mangelinck D.- Effect of a third element on the stability of NiSi thin films on Si.- Defect and Diffusion Forum, vol. 249, p. 127-134, 2006 Martinuzzi S., Palais O., Ostapenko S.- Scanning techniques applied to the characterisation of p and n type multicristalline silicon.- Mat. Sci. In Semiconductor Processing, vol. 9, n° 1-3, p. 230235, 2006 Mazingue T., Escoubas L., Spalluto L., Flory F., Jacquouton P., Perrone A., Kaminska E., Piotrowska A., Mihailescu I., Atanasov P.- Optical characterizations of ZnO, Sn02 and TiO2 thin films for butane detection.- Applied Optics, vol. 45, n° 7, p. 1425–1435, 2006 Meillère S., Barthélemy H., Martin M.- 13.56 MHz CMOS transceiver for RFID applications.Springer Analog Integrated Circuit and Signal Processing, published online http://springerlink.metapress.com/content/1573-1979/, to be published in printed version, ISSN 0925-1030 (Print) 1573-1979 (Online) Meltchakov E., Vidal V., Faik H., Casanove M.J., Vidal B.- Performance of multilayer coatings in relationship to microstructure of metal layers. Characterization and optical properties of Mo/Si multilayers in extreme ultra-violet and x-ray ranges.- Journal of Physics : Condensed Matter, vol. 18, p. 3355-3365, 2006 Menou N., Muller Ch., Goux L., Barrett R., Lisoni J.G., Schwitters M., Wouters D.J.- Microstructural analysis of integrated pin-shaped 2D and 3D ferroelectric capacitors from micro-focused synchrotron x-ray techniques.- Journal of Applied Crystallography, vol. 39, no. 3, p. 376-384, 2006 Meolans J., Graur I., Perrier P., Zeitoun D., Aguir K., Bendahan M.- Isothermal gas flows in microchannels : physical parameters profiles and mass flow rates.- Houille Blanche-Revue Internationale de l’Eau, vol. 1, p. 34-39, 2006 Merlen A., P. Toulemonde, N. Bendiab, A. Aouizerat, J. L. Sauvajol, G. Montagnac, H. Cardon, P Petit, A. San Miguel.- Raman spectroscopy of single wall carbon nanotubes under pressure : effect of the pressure transmitting medium.- Physica Status Solidi B, vol. 243, p. 690-, 2006 PRODUCTIONS 191 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Michel J.P., Lacaze E., Goldmann M., Gailhanou M., de Boissieu M., Alba M.- Structure of smectic defect cores: X-ray study of 8CB liquid crystal ultrathin films.- Phys. Rev. Letters, vol. 96, n° 2, p. 027803, 2006 Monestier F., J.J. Simon, Ph. Torchio, L. Escoubas, F. Flory, S. Bailly, R. de Bettignies, S. Guillerez, C. Defranoux.- Modeling the short circuit current density of polymer solar cells based on P3HT : PCBM blend.- Solar Energy Materials and Solar Cells, acceptée, 2006 Monestier F., Torchio P., Simon J.J., Escoubas L., Cathelinaud M.- Demonstration of a software for automatic optimization of the electromagnetic field in organic solar cells.- Nonlinear Optics, Quantum Optics, acceptée, 2006 Muller C., Menou N., Barrett R., Save D.- Non destructive microstructural diagnostic of integrated ferroelectric capacitor arrays : correlation with electrical characteristics.- Journal of Applied Physics, vol. 99, n° 5, p. 054504(1-5), 2006 Munteanu D., J.L. Autran, X. Loussier, S. Harrison, R. Cerutti, T. Skotnicki.- Quantum shortchannel compact modeling of drain-current in double-gate MOSFET.- Solid State Electronics, vol. 50, n° 4, p. 680-686, 2006 Munteanu D., J.L. Autran, X. Loussier, S. Harrison, R. Cerutti.- Compact modeling of symmetrical double-gate MOSFETs including carrier confinement and short-channel effects.- Molecular Simulation, acceptée, 2006 Munteanu D., V. Ferlet-Cavrois, J.L. Autran, P. Paillet, J. Baggio, O. Faynot, C. Jahan, and L. Tosti.- Investigation of quantum effects in ultra-thin body single- and double-gate devices submitted to heavy ion irradiation.- IEEE Transactions on Nuclear Science, acceptée, 2006 Nehari K., Cavassilas N., Autran J.L., Bescond M., Munteanu D., Lannoo M.- Influence of bandstructure on electron ballistic transport in silicon nanowire MOSFET’s : an atomistic study.- Solid State Electronics, vol. 50, n°4, p. 680-686, 2006 Nemouchi F. , D. Mangelinck, C. Bergman, G. Clugnet, Gas P.- Simultaneous growth of Ni5Ge3 and NiGe by reaction of Ni film with Ge.- Applied Physics Letters, acceptée, 2006 Nemouchi F., Mangelinck D, J.L. Lábár, M. Putero, C. Bergman, Gas P.- A comparative study of nickel silicides and nickel germanides: phase formation and kinetics.- Microelectronics Engineering, acceptée, 2006 Ney D., X. Federspiel, O. Thomas, P. Gergaud.- Stress induced electromigration backflow effect in copper interconnects.- IEEE Transactions on Device & Materials Reliability, vol. 6, p. 175, 2006 Ngo K. A., Lauque P., Aguir K.- Identification of toxic gases using steady-state and transient responses of a gas sensor array.- Sensors and Materials, vol. 18, n° 5, 2006 Nguyen Thi H., Gastaldi J., Schenk T., Reinhart G., Mangelinck-Noël N., Cristiglio V., Billia B., Grushko B., Härtwig J., Klein H., Baruchel J.- In situ and real-time probing of quasicrystal solidification dynamics by synchrotron imaging.- Physical Review E, vol. 74, p. 031605, 2006 Nguyen Thi H., Zhou B.H., Reinhart G., Billia B., Liu Q.S., Lan C.W., Lyubimova T., Roux B.Influence of forced convection on columnar microstructure during directional solidification of Al-Ni alloys.- Materials Science Forum, vol. 508, p. 181-186, 2006 Nguyen-Thi H., Reinhart G., Mangelinck-Noel N., Jung H., Billia B., Schenk T., Gastaldi J., Härtwig J., Baruchel J.- In situ and real-time investigation of columnar-to-equiaxed transition in metallic alloy.- Metallurgical and Materials Transactions A, acceptée, 2006 Nyeki J.,Girardeaux C., Rolland A., Bernardini J.- AES measurements of Sb mass transport in amorphous Si thin films.- Journal de Physique IV - Proceedings, vol. 132, p. 255-, 2006 Ouzaouit K., Benlhachemi A., Benyaich H., Dallas J.P., Villain S., Musso J.A., Gavarri J.R.Electrical conductivity of BaCeO3 synthesized by new sol-gel method.- Journal of Condensed Matter, vol. 7, 1, p. 94-97, 2006 192 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Parthasarathy C.R., Denais M., Huard V., Ribes G., Roy D., Guerin C., Perrier F., Vincent E., Bravaix A.- Designing in reliability in advanced CMOS technologies.- Microelectronics Reliability, vol. 46, n° 9-11, p. 1464-1471, 2006 Pirouz P., Zhang M., Hobgood H. M., Lancin M., Douin J., Pichaud B.- Nitrogen doping and multiplicity of stacking faults in SiC.- Phil. Mag. A, sous presse, 2006 Pizzini S., Acciarri M., Binetti S., Cavalcoli D., Cavallini A., Chrastina D., Colombo L., Grilli E., Isella, G., Lancin M., Le Donne A., Mattoni A., Peter K., Pichaud B., Poliani E., Rossi M., Sanguinetti S., Texier M., von Kanel H.- Nanocrystalline silicon films as multifunctional material for optoelectronic and photovoltaic applications.- Mat. Sci. & Engin. B, sous presse, 2006 Portavoce A., Berbezier I., Ronda A., Gas P., Christensen J.S., Kuznetsov A.Yu., Svensson B.G.Dopant diffusion in Si1-xGex thin films : Effect of epitaxial stress.- Defect and Diffusion Forum, vol. 249, p. 135, 2006 Portavoce A., Kammler M., Hull R., Reuter M.C., Ross F.M.- Mechanism of the nanoscale localization of Ge quantum dot nucleation on focused ion beam templated Si(001) surfaces.Nanotechnology, vol. 17, p. 4451, 2006 Pradeilles N., Record M.C., Marin-Ayral R.M.- A derivate SHS method for Si2N2O elaboration.Journal of the European Ceramic Society, vol. 26, n° 13, p. 2489-2495, 2006 Radtke G. , Botton G.A.- Probing the electronic structure of complex crystals with EELS : a study of natisites.- Journal of Physics : Condensed matter, vol. 18, p. 3629, 2006 Radtke G., Botton G.A. , Verbeeck J.- Electron inelastic scattering and anisotropy : the twodimensional point of view.- Ultramicroscopy, vol. 106, 2006 Rathgeb S., Moeglin J.P., Boffy A., Pasquinelli M., Palais O.- Hysteresis phenomena in reverse biased InAsSbP/InAs heterostructure.- App. Phys. Letters, vol. 89, n° 2, 2006 Reinhart G., Nguyen-Thi H., Gastaldi J., Billia B.,Mangelinck-Noël N., Schenk T., Härtwig J., Baruchel J.- In situ and real time investigation of directional solidification of Al -Ni alloys by synchrotron radiography.- Material Science Forum, vol. 508, p. 75-80, 2006 Rodriguez N., Adrian J., Grosjean C., Haller G., Girardeaux C., Portavoce A.- Evaluation of scanning capacitance microscopy sample preparation by focused ion beam.- Microelectronics Reliability, vol. 46, n° 9-11, p. 1554, 2006 Roussel J.M., Bellon P.- Interface sharpening and broadening during annealing of Cu/Ni multilayers : a kinetic Monte Carlo study.- Phys. Rev. B , vol. 73, n° 8, p. 085403, 2006 Saitzek S., Villain S., Gavarri J.R.- Nanocrystalline Cuox-Ceo2 systems for gas sensors : FTIR analyses of catalytic reactions.- Material Science Forum, Trans Tech Publications, vol. 513, p. 114, 2006 Sarigiannidou E., Monroy E., Gogneau N., Radtke G., Bayle-Guillemaud P., Bellet-Amalric E., Daudin B., Rouvière J. L.- Comparison of the structural quality in Ga-face and N-face polarity GaN/AlN multiple-quantum-well structures.- Semiconductor Science and Technology, vol. 21, p. 612, 2006 Sati P., Hayn R., Kuzian R., Regnier S., Schafer S., Stepanov A., Morhain C., Deparis C., Laugt M., Goiran M., Golacki Z.- Magnetic anisotropy of Co2+ as signature of intrinsic ferromagnetism in ZnO:Co.- Physical Review Letters, vol. 96, p. 017203, 2006 Simola R., Mangelinck D., Portavoce A., Bernardini J., Fornara P.- Boron redistribution during crystallization of phosphorus-doped amorphous silicon.- American Institute of Physics Conference Series, acceptée, 2006 PRODUCTIONS 193 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Sztucki M., Metzger T. H., Chamard V., Hesse A., Holý V.- Investigation of shape strain and interdiffusion in InGaAs quantum rings using grazing incidence x-ray diffraction.- J. Appl. Phys., vol. 99, p. 033519, 2006 Texier M., Cordier P..- TEM characterization of dislocations and slip systems in Stishovite SiO2 deformed at 14 GPa, 1300°C in the multianvil apparatus.- Physics and Chemistry of Minerals, sous presse, 2006 Texier M., Regula G., Lancin M., Pichaud B.- LACBED study of extended defects in 4H-SiC.- Phil. Mag. Letters, vol. 86, n° 9, p. 529-537, 2006 Thomas O., A. Loubens, P. Gergaud, Labat S.- X-ray scattering: a powerful probe of lattice strains in materials with small dimensions.- Applied Surface Science, acceptée, 2006 Tlili A., Abdelghani A., Aguir K., Gillet M., Jaffrezic-Renault N.- Adsorption characteristics of selfassembled thiol and dithiol layer on gold.- Materials Science and Engineering: C, available online 22 June 2006 Weiss C., Bergeon N., Mangelinck-Noel N., Billia B.- Effect of the interface curvature and dendrite orientation in directional solidification of bulk transparent alloys.- Materials Science Forum, vol. 508, p. 337-, 2006 Yao H. B, Bouville M, Chi D Z, Sun H P, Pan X Q, Srolovitz D J, Mangelinck D.- Interplay between grain boundary grooving, stress, and dealloying in the agglomeration of NiSi1-xGex films.Electrochemical and Solid State Letters, acceptée, 2006 Zahra A.M., Zahra C.Y., Verlinden B.- Comment on the room temperature precipitation in quenched Al-Cu-Mg alloys : a model fort he reaction kinetics and yield-strength development.Phil. Mag. Letters, vol. 86, p. 235-42, 2006 Zaïdat K., Ouled-Khachroum T., Reinhart G., Mangelinck-Noël N., Dupouy M.D., Moreau R.- Effect of travelling magnetic field on the directional solidification of refined Al-3.5 wt %Ni alloys.Materials Science Forum, vol. 508, p. 221-226, 2006 Zarbout K., Moya G., Bernardini J., Moya-Siesse D., Si Ahmed A., Kansy J., Goeuriot D.Consequences of silicon segregation on the dielectric properties of sintered alumina.- Defect and Diffusion Forum, vol. 249, p. 281-286, 2006 194 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Publications du L2MP sur la période 2002 - 20 titre revue facteur d'impact de la nb revue articles (source publiés : JCR Science Edition) tri selon le facteur d'impact Surface Science Reports, 1 17,857 Acta Physica Polonica A, Nano Letters, 3 8,449 Advanced Engineering Mate Physical Review Letters, 8 7,218 Advances in Science and Te Journal of the American Chemical Society, 1 6,903 Advances in Space Researc Applied Physics Letters, 19 4,308 American Institute of Physi Chemistry of Materials, 5 4,103 Analog Integrated Circuits a ChemPhysChem, 1 3,596 Analog Integrated Circuits a Journal of Applied Crystallography A, 3 3,534 Analytica Chimica Acta, Nanotechnology, 3 3,322 Annals of Telecommunicatio Langmuir, 1 3,295 Applied Physics A : Materia IEEE Transactions on Nanotechnology, 2 3,176 Applied Physics Letters, Journal of Dental Research, 2 3,131 Applied Surface Science, 31 3,075 Calphad-Computer Coupling 1 2,692 Chemical Physics Letters, Physical Review B, Progress in Surface Science, Electroanalytical Chemistry, 1 2,667 Chemical Physics, Journal of Physical Chemistry A, 1 2,639 Chemistry of Materials, Analytica Chimica Acta, 1 2,588 ChemPhysChem, IEEE Electron Device Letters, 1 2,538 Chinese Physics Letters, Chemical Physics Letters, 1 2,438 Corrosion Science, Journal of the Electrochemical Society, 8 2,356 Crystal Research and Techn Physical Review E, 6 2,352 Defect and Diffusion Forum Chemical Physics, 2 2,316 Electroanalytical Chemistry Electrochemical and Solid State Letters, 6 2,271 Electrochemical and Solid S Journal of Applied Physics, 18 2,255 Electronics Letters, Surface Science, 16 2,168 Engineering Materials, Europhysics Letters, 1 2,12 Calphad-Computer Coupling of Phase Diagrams and Thermochemistry, 2 2,119 European Physical Journal - Journal of Microscopy, 1 2,095 European Physical Journal B Sensors and Actuators B : Chemical, 14 2,083 European Physical Journal D Journal of Physics - Condensed Matter, 13 2,049 Europhysics Letters, ESRF Newsletter, IEEE Transactions on Electron Devices, 3 2,036 Ferroelectrics, Geophysical Journal International, 1 2,014 Geophysical Journal Interna Journal of Solid State Chemistry, 1 1,815 High Temperature Material Intermetallics, 2 1,77 Houille Blanche-Revue Inte Physics of Fluids, 1 1,761 IEEE Electron Device Letter IEEE Transactions on Nuclear Science, 7 1,737 IEEE Transactions on Circui Corrosion Science, 1 1,714 IEEE Transactions on Devic 10 1,707 IEEE Transactions on Electr European Physical Journal D, 1 1,692 IEEE Transactions on Instru Journal of Vacuum Science and Technology B, 1 1,664 IEEE Transactions on Magn Journal of Crystal Growth, PRODUCTIONS 195 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Thin Solid Films, 11 1,647 IEEE Transactions on Micro Journal of Physics D - Applied Physics, 2 1,642 IEEE Transactions on Nanot Interface Science, 1 1,639 IEEE Transactions on Nucle Solid State Science, 1 1,598 IEICE Transactions on Elect Philosophical Transactions of the Royal Society of London A, 2 1,59 Oxidation of Metals, 1 1,568 Journal of Alloys Compounds, 2 1,562 Intermetallics, Journal of Vacuum Science and Technology A, 2 1,557 International Journal of Mo IEEE Transactions on Microwaves Theory and Techniques, 1 1,543 International Journal of The Solid State Communications, 1 1,523 Japanese Journal of Applied 13 1,497 Journal de Physique IV - Pr Journal of the European Ceramic Society, 1 1,483 Journal of Adhesion Science Physics Letters A, 1 1,454 Journal of Alloys Compound Applied Physics A : Materials Science & Processing, 3 1,452 Journal of Applied Crystallo Materials Science and Engineering A, 3 1,445 Journal of Applied Physics, Journal of Non-Crystalline Solids, 25 1,433 Journal of Chemical and En Surface and Coatings Technology, 1 1,432 Journal of Chemical Thermo European Physical Journal B, 4 1,426 Journal of Computational E Journal of Physics and Chemistry of Solids, 2 1,41 Journal of Condensed Matte Journal of Chemical Thermodynamics, 1 1,398 Journal of Crystal Growth, Materials Science and Engineering C, 3 1,383 Journal of Dental Research, Applied Surface Science, Journal of Chemical and Engineering Data, Integrated Ferroelectrics, Interface Science, 1 1,368 Journal of Electron Spectro Microelectronic Engineering, 16 1,347 Journal of Electronic Testing Materials Science and Engineering B, 10 1,281 Journal of Magnetism and M IEEE Transactions on Circuits and Systems I, 1 1,252 Journal of Magnetism and M Molecular Simulation, 4 1,241 Journal of Metastable and N Metallurgical and Materials Transactions A, 1 1,232 Journal of Microscopy, Solid State Electronics, 11 1,21 Journal of Non-Crystalline S Surface and Interface Analysis, 2 1,209 Journal of Optoelectronics a Spectrochimica Acta A, Molecular and Biomolecular Spectroscopy, 1 1,188 Journal of Phase Equilibria Nuclear Instruments and Methods in Physics Research B, 3 1,181 Journal of Physical Chemist Chinese Physics Letters, 1 1,176 Journal of Physics - Conden Philosophical Magazine, 4 1,167 Journal of Physics - Confere Japanese Journal of Applied Physics, 1 1,142 Journal of Physics and Chem Journal of Electron Spectroscopy and Related Phenomena, 1 1,069 Journal of Physics D - Appli Advanced Engineering Materials, 1 1,068 Journal of Solid State Chem Physica Status Solidi A, 2 1,041 Journal of the American Ch Journal of Optoelectronics and Advanced Materials, 1 1,033 Journal of the Electrochemi Journal of Magnetism and Magnetic Materials, 1 1,031 Journal of the European Ce Journal of Magnetism and Magnetic Materials, 2 1,031 Journal of Thermophysics a Electronics Letters, 6 1,016 Journal of Vacuum Science Journal of Adhesion Science and Technology, 1 0,955 Journal of Vacuum Science Z. Metallkunde, 2 0,907 Key Engineering Materials, Vacuum, 1 0,902 Langmuir, Physica E : Low-dimensional Systems and Nanostructures, 3 0,898 Lecture Notes in Computer IEEE Transactions on Magnetics, 1 0,837 Material Science Forum, Physica Status Solidi B, 1 0,836 Materials Research Society Microscale Thermophysical Engineering, 1 0,783 Materials Science and Engin Crystal Research and Technology, 1 0,77 Materials Science and Engin European Physical Journal - Applied Physics, 2 0,745 Materials Science and Engin Physics of the Solid State, 1 0,699 Metallurgical and Materials 196 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Signal Processing, 1 0,694 Microelectronic Engineering Physica B, 1 0,679 Microelectronics Reliability, Physica Scripta, 1 0,661 Microgravity Science Techn Theoretical and Mathematical Physics, Microelectronics Reliability, 1 0,651 Microscale Thermophysical 12 0,607 Microwave and Optical Tech International Journal of Thermal Sciences, 1 0,6 Pattern Recognition Letters, 1 0,576 Nano Letters, Journal of Thermophysics and Heat Transfer, 2 0,551 Nanotechnology, Advances in Space Research, 1 0,548 Nuclear Instruments and M Sensors and Materials, 1 0,533 Oxidation of Metals, Ferroelectrics, 6 0,517 Pattern Recognition Letters Lecture Notes in Computer Science, 1 0,513 Philosophical Magazine, Material Science Forum, 8 0,498 Philosophical Transactions o Acta Physica Polonica A, 1 0,495 Defect and Diffusion Forum, 9 0,48 Solid State Phenomena, 1 0,461 Physica Scripta, Microwave and Optical Technology Letters, 2 0,456 Physica Status Solidi A, IEEE Transactions on Instrumentation and Measurement, 1 0,446 Physica Status Solidi B, Superlattices and Microstructures, 1 0,431 Physical and Chemical New Integrated Ferroelectrics, 1 0,427 Physical Review B, International Journal of Modern Physics B, 1 0,381 Physical Review E, Journal de Physique IV - Proceedings, Molecular Simulation, Physica B, Physica E : Low-dimensiona 13 0,38 Microgravity Science Technology, 4 0,361 Physics Letters A, Physical Review Letters, Journal of Electronic Testing (JETTA), 1 0,324 Physics of Fluids, Key Engineering Materials, 1 0,278 Physics of the Solid State, Analog Integrated Circuits and Signal Processing, 4 0,277 Progress in Surface Science Journal of Phase Equilibria and Diffusion, 1 0,271 Review of Recent research Analog Integrated Circuits and Signal Processing, 1 0,207 Revue d’Electricité et d’Elec High Temperature Material Processes, 1 0,194 Revue de Métallurgie, Cahie Houille Blanche-Revue Internationale de l’Eau, 1 0,084 Sensors and Actuators B : C Advances in Science and Technology, 1 nd Sensors and Materials, American Institute of Physics Conference Series, 1 nd Signal Processing, Annals of Telecommunications, 1 nd Solid State Communication Engineering Materials, 1 nd Solid State Electronics, ESRF Newsletter, 1 nd Solid State Phenomena, IEEE Transactions on Device Materials Reliability,* 1 nd Solid State Science, IEICE Transactions on Electronics, 1 nd Spectrochimica Acta A, Mol Journal of Computational Electronics, 3 nd Superlattices and Microstru Journal of Condensed Matter, 1 nd Surface and Coatings Techn Journal of Metastable and Nanocrystalline Materials, 1 nd Surface and Interface Analy Journal of Physics - Conference Series, 1 nd Surface Science Reports, Materials Research Society Symposium, 1 nd Surface Science, Theoretical and Mathematic Physical and Chemical News, 3 nd Review of Recent research Developments in Magnetism and Magnetic Materials, 1 nd Thin Solid Films, Revue d’Electricité et d’Electronique, 2 nd Traitement du Signal, Revue de Métallurgie, Cahiers d’Informations Techniques, 1 nd Vacuum, Traitement du Signal, 2 nd WSEAS Transactions on Cir 1 nd Z. Metallkunde, WSEAS Transactions on Circuits and Systems, issn 1109-2734 471 PRODUCTIONS 197 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE 198 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Publications du TECSEN sur la période 2002 - 2006 titre revue facteur d'impact de la nb revue articles (source publiés : JCR Science Edition) titre re tri selon le facteur d'impact Physical Review Letters, 4 7,218 Acta Materialia Applied Physics Letters, 8 4,308 Advanced Engineering Materials, Journal of Applied Crystallography A, 1 3,534 Annales de Chimie en Sciences des M Acta Materialia 4 3,430 Applied Optics Carbon 1 3,420 Applied Physics Letters, Journal of Chemistry and Physics 2 3,140 Applied Surface Science, Physical Review B, 8 3,075 Calphad-Computer Coupling of Phas New Journal on Chemistry 1 2,570 Carbon UltraMicroscopy 2 2,490 Cement and Concrete Research Physical Review E, 2 2,352 CR Chimie Journal of Applied Physics, 9 2,255 CR Physique Scripta Materialia 3 2,230 Crystal Research and Technology, Journal of Electrochemical Society 1 2,190 Defect and Diffusion Forum, Surface Science, 1 2,168 European Physical Journal - Applied Europhysics Letters, 1 2,120 Europhysics Letters, Calphad-Computer Coupling of Phase Diagrams and Thermochemistry, 1 2,119 IEEE Transactions on Device and Ma Journal of Microscopy 2 2,100 Interface Science, Journal of Physics - Condensed Matter, 9 2,049 Intermetallics, Solar Energy Materials and Solar Cells 3 2,000 International Journal of Material Res Journal of Nanoscienceand Nanotechnology 2 1,930 International Journal of Materials an Journal of Solid State Chemistry, 2 1,815 International Journal of SHS Intermetallics, 2 1,770 Journal de Physique IV France, Thin Solid Films, 3 1,647 Journal of Alloys Compounds, Applied Optics 1 1,640 Journal of Applied Crystallography A Interface Science, 1 1,639 Journal of Applied Physics, CR Chimie 1 1,580 Journal of Chemistry and Physics Oxydation of Metals 1 1,568 Journal of Electrochemical Society Journal of Alloys Compounds, 5 1,562 Journal of Japanese Society of Calor Applied Surface Science, 5 1,497 Journal of Material Science Journal of the European Ceramic Society, 1 1,483 Journal of Microscopy Materials Science and Engineering A, 5 1,445 Journal of Nanoscienceand Nanotech CR Physique 1 1,440 Journal of Non-Crystalline Solids, Journal of Non-Crystalline Solids, 2 1,433 Journal of Phase Equilibria Surface and Coatings Technology, 3 1,432 Journal of Physics - Condensed Matt Journal of Thermal Analysis and Calorimetry 3 1,420 Journal of Physics and Chemistry of Review of Scientific Instruments 2 1,240 Journal of Solid State Chemistry, Thermochimica Acta 6 1,230 Journal of the European Ceramic Soc Semiconductors Science and Technology 1 1,220 Journal of Thermal Analysis and Calo Metallurgy and Material Trans. 1 1,200 Material Science Forum PRODUCTIONS 199 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Philosophical Magazine Letters 5 1,170 Material Science in Semiconductor P Philosophical Magazine, 8 1,167 Materiales de Construccion Advanced Engineering Materials, 2 1,068 Materials Science and Engineering A IEEE Transactions on Device and Materials Reliability 1 1,044 Materials Science and Engineering B Physica Statu Solidi ( c) 2 1,040 Metallurgy and Material Trans. Nuclear Instruments and Methods in Physics Research B, 2 0,997 Microelectronic Engineering, Journal of Physics and Chemistry of Solids, 2 0,988 Molecular Crystals and Liquid Crysta Materials Science and Engineering B, 8 0,924 New Journal on Chemistry Z. Metallkunde, 1 0,907 Nuclear Instruments and Methods in Journal of Material Science 1 0,900 Optica Aplicata Physica E : Low-dimensional Systems and Nanostructures, 2 0,898 Oxydation of Metals Material Science in Semiconductor Processing 3 0,890 Philosophical Magazine Letters Physica Status Solidi (a), 1 0,860 Philosophical Magazine, Crystal Research and Technology, 1 0,770 Physica E : Low-dimensional System European Physical Journal - Applied Physics, 8 0,745 Physica Statu Solidi ( c) Cement and Concrete Research 2 0,730 Physica Status Solidi (a), Materiales de Construccion 1 0,540 Physical Review B, Material Science Forum 7 0,498 Physical Review E, Solid State Phenomena, 8 0,490 Physical Review Letters, Molecular Crystals and Liquid Crystals 1 0,470 Physics and Chemistry of Minerals Optica Aplicata 1 0,460 Recent Research and Developpemen Superlattices and Microstructures, 1 0,431 Review of Scientific Instruments Defect and Diffusion Forum, 5 0,343 Revue de Metallurgie Journal de Physique IV France, 2 0,294 Revue de Métallurgie, Cahiers d’Info Journal of Phase Equilibria 1 0,270 Science of Sintering Annales de Chimie en Sciences des Materiaux 2 0,260 Scripta Materialia Microelectronic Engineering, 7 0,244 Semiconductors Science and Techno International Journal of Materials and Product Technology 1 0,206 Solar Energy Materials and Solar Ce Revue de Metallurgie 1 0,110 Solid State Phenomena, Science of Sintering 1 0,110 Superlattices and Microstructures, International Journal of Material Research 1 nd Surface and Coatings Technology, International Journal of SHS 2 nd Surface Science, Journal of Japanese Society of Calorimetry 1 nd Thermochimica Acta Physics and Chemistry of Minerals 1 nd Thin Solid Films, Recent Research and Developpement in Material Science 1 nd UltraMicroscopy 1 nd Z. Metallkunde, Revue de Métallurgie, Cahiers d’Informations Techniques, 206 Impact factor : The journal impact factor is the average number of times articles from the journal published in the past two years have been cited in the JCR year. The impact factor is calculated by dividing the number of citations in the JCR year by the total number of articles published in the two previous years. An impact factor of 1.0 means that, on average, the articles published one or two year ago have been cited one time. An impact factor of 2.5 means that, on average, the articles published one or two year ago have been cited two and a half times. Citing articles may be from the same journal; most citing articles are from different journals. 200 ARTICLES DANS REVUES A COMITE DE LECTURE RAPPORT D’ACTIVITE 2002 - 2006 Ouvrages d’auteurs Lannoo M., Delerue C.- Nanostructures. Theory and modelling.- Springer, Nanosciences and Technology Series, 2004 Moya F.- Les alliages dentaires. Propriétés fondamentales des métaux et alliages.- Dossiers ADF, Editeur Association Dentaire Française, Paris, 2004 Courmontagne P.- Ingénierie du signal : théorie et pratique.- Edition Ellipses, Collection Technosup, 2005 Edition scientifique Autran J.L. (ed), Munteanu D. (ass. ed).- Proceedings of the 6th European Conference "Radiation and its Effects on Components and Systems" (RADECS 2002), Proceedings IEEE 01TH8605C (The Institute of Electrical and Electronics Engineers), 2002 Autran J.L. (guest ed), Munteanu D. (ass. ed).- IEEE Transactions on Nuclear Science, Special Issue, vol. NS-49, n° 3, June 2002 Pichaud B.- Editeur associé de European Physical Journal : Applied Physics pour quelques articles choisis du International workshop on semiconductor defect engineering : progess and prospect 2002 Aufray B., Bernardini J., Dallaporta H., Le Lay G., Soukiassian (eds).- Proceeding of the 11th International Conference on Solid Films and Surfaces (ICSFS 11).- Applied Surface Science, vol. 212-213, p. 1-926, 2003 Autran J.L., Ferrari M., Munteanu D., Passacantando M., Vedda A. (eds).- SiO2 and Advanced Dielectrics III.- Journal of Non-Crystalline Solids, Special Issue, volume 322, Elsevier Science B.V., 2003 Thomas O., Dallaporta H., Gas P.- Editeurs des Proceedings of the European Workshop on Materials for Advanced Metallization 2003, .- Microelectronic Engineering 70 (2003), Elsevier Houssa M. (ed).- Fundamentals and technological aspects of high-k gate dielectrics.- Institute of Physics Publishing, London, 2004 Van Uffelen M., Sharp R. (Guest Editors), Autran J.L. (IEEE Liaison Editor).- Proceedings of the 7th European Conference Radiation and its Effects on Components and Systems.- Proceedings IEEE 03TH8776, The Institute of Electrical and Electronics Engineers, 2004 Van Uffelen M., Sharp R. (Guest Editors), Autran J.L. (IEEE Liaison Editor).- IEEE Transactions on Nuclear Science.- volume NS-51, n° 5, October 2004 Gerritsen E., Masson P., Mazoyer P. (Guest Editors).- Papers selected from the 1th International Conference on Memory Technology and Design.- ICMTD’05, Special Issue of Solid-State Electronics, vol. 49, n° 11, p. 1713 - 1874, 2005 Pichaud B, Claverie A., Alquier D., Richter H., Kittler M.- Editeurs des proceedings de la conférence GADEST 2005 (gettering and defect engineering in semiconductor technology) Giens (France) 2530 Septembre 2005, Solid State Phenomena Vol 108-109, Trans Tech Publications Vedda A., Munteanu D., Paillet P., Ferrari M., Autran J.L. (Editors).- SiO2, advanced dielectrics and related devices.- Journal of Non-Crystalline Solids, Special Issue, vol. 351, Elsevier Science B.V., 2005 PRODUCTIONS 201 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Autran J.L. (Guest Editor).- Proceedings of the 2005 Radiation and Its Effects on Components and Systems (RADECS) Conference, Cap d'Agde, France, September 19-23, 2005.- Proceedings IEEE n°05TH8849, Octobre 2006 Schwank J.R. (Guest Editor), Paillet P., Felix J.A., Autran J.L. (Assistant Editors).- Selected Papers from the 2005 Radiation and Its Effects on Components and Systems (RADECS) Conference, Cap d'Agde, France, September 19-23, 2005.- IEEE Transactions on Nuclear Science, vol. 53, n°4, part I, August 2006 Ouvrages : chapitres invités Allan G., Delerue C., Krzeminski C., Lannoo M.- Nanoelectronics.- in "Nanostructured Materials. Selected Synthesis Methods and Applications", P. Knauth and J. Schoonman (eds), Kluwer, 2002 Bernardini J, Beke D. L.- Diffusion in nanomaterials.- in "Nanocrystalline metals and oxides : Selected properties and applications", P. Knauth and J. Schoonman (eds), Kluwer Academic Publishers, Boston, p. 41-79, 2002 Bouchakour R.- Revue des modèles Spice du transistor MOSFET.- in "Traité EGEM - Modèles électriques pour la conception des circuits intégrés silicium", Hermès, 2002 D'Heurle F.M., Gas P., Lavoie C., Philibert J.- Reactive phase formation : some theory and application. in "Diffusion Processes in Thin Films and Microelectronic Materials", D. Gupta (ed), Noyes Publisher, New Jersey, USA, à paraître, 2002 Jauffret C.- 1er chapitre du livre « Décision statistique et Reconnaissance des Formes en Signal » : Eléments de théorie de la décision statistique I : Bases.- Hermes, 2002 Villain S., Gavarri J.R., Thommerel E., Kopia A., Musso J., Valmalette J.C., Frémy M.A., Nigrelli E., Pischedda M.H.- Electrical percolation, reactivity and degradation in resistor-capacitor composite materials : modeling and predictions.- in "Recent Research Developments in Solid State Ionics", S.G. Pandalai (ed), Transworld Research Network, Trivandrum, India, à paraître, 2002 Escoubas L., Flory F.- Optical thin films for micro-components.- in "Optical Interference Coatings", N. Kaiser, J. Pulker (eds), Springer Verlag, 2003 Autran J.L., Munteanu D., Houssa M.- Electrical characterization, modeling and simulation of highk based MOS devices.- in “Fundamental and Technological Aspects of High-k Gate Dielectrics”, M. Houssa (ed), Institute of Physics Publishing, London, Chapter 3.4, p. 251-289, 2004 Bendahan M., P. Lauque, J.L. Seguin, C. Lambert-Mauriat, C. Jacolin, P. Knauth.- High selectivity copper bromide microsensors for ammonia gas.- in "Recent Research Developments in Solid State Ionics 2", Transworld Research Network, Trivandrum, India, 2004 Bernardini J., Girardeaux C., Erdélyi Z. and Lexcellent C.- Grain boundary diffusion and segregation in nanolayered materials.- in “Nanodiffusion, Diffusion in Nanostructured Materials”, Journal of Metastable and Nanocrystalline Materials, vol. 19, D.L. Beke (ed), Scitec et Trans Tech Publications Ltd., Zurich, 2004 d’Heurle F.M., Gas P., Lavoie C., Philibert J.- Diffusion Processes in Thin Films and Microelectronic Materials.- in "Reactive phase formation : some theory and application", D. Gupta (ed), Noyes, New Jersey, USA, 2004 Flory F., Escoubas L.- Film thickness measurement.- Encyclopedia of Optical Engineering, R. Driggers (ed), Marcel Dekker Inc., 2004 Houssa M.- Defect generation under electrical stress: experimental characterization and modeling.- in “Fundamentals and technological aspects of High-k Gate Dielectrics”, M. Houssa (ed), Institute of Physics Publishing, London, Chapter 4.6, p. 467-495, 2004 202 OUVRAGES, EDITION, CHAPITRES INVITES RAPPORT D’ACTIVITE 2002 - 2006 Houssa M., Heyns M.M.- High-k Gate Dielectrics : why do we need them ?.- in “Fundamentals and technological aspects of High-k Gate Dielectrics”, M. Houssa (ed), Institute of Physics Publishing, London, Chapter 1.1, p. 3-13, 2004 Deschamps A., Nicolas M., Perrard F., Bley F., Livet F., Doisneau-Cottignies B., Donnadieu P.Caractérisation quantitative de la précipitation durcissante dans les matériaux de structure par la technique de diffusion centrale des rayons X et des neutrons.- Materiaux & Techniques, 92(5-6), 41-52 (2004) Deschamps A., Nicolas M., Perrard F., Perez M.- Caractérisation quantitative et modélisation des cinétiques de précipitation : vers la précipitation anisotherme et les phénomènes couplés .- Revue de Métallurgie -CIT/Science et Génie des Matériaux, 101(5) 361-379 (2004) Thomas O., Labat S., Bigault T., Gergaud P., Bocquet F.- Stresses and interfacial structure in metal films and multilayers of nanometre thickness.- J. Metastable and Nanocryst. Materials 19, 129 (2004) Gontier-Moya E.G., Si Ahmed A., Moya F.- Interface mass transport in oxide materials.- in “Materials for Energy Conversion Devices”, Ch. Sorrell, Suano Sugihara and J. Nowotny (eds), Woodhead Publishers, Cambridge, U.K., p. 286-302, 2005 Lauque P., M. Bendahan, J.L. Seguin,, P. Knauth.- Copper and silver halides for gas detection.- in “Encyclopedia of Sensors”, Craig A. Grimes (ed.), sous presse, 2005 Pillon D., Jauffret, C.- Trajectographie passive par Mesures d’Angles.- Techniques de l’Ingénieur, février 2005 Lauque P., M. Bendahan, J.L. Seguin,, P. Knauth.- Copper and silver halides for gas detection.- in “Encyclopedia of Sensors”, vol. 10, p. 1-9, Craig A. Grimes (ed.), American Scientific Publishers, 2006 Seguin J.L., Gomri S., Guérin J., Aguir K.- Bases of noise spectroscopy for enhancing metallic oxide gas sensors selectivity.- in "Science and Technology of Gas Sensors", D.K. Aswal, S.K. Gupta (eds), Nova Publishers, 2006 PRODUCTIONS 203 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Conférences invitées 2002 Delerue C., Allan G., Lannoo M., Krzeminski C., Niquet Y.M.- Calculs et théorie de la structure électronique.- Ecole Thématique sur les Nitrures, La Plagne, France, 25-29 mars 2002 Gas P., Bergman C., d’Heurle F.M., Mangelinck D.- Kinetic engineering, phase selection and synthesis of metastable phases by reactive diffusion.- CIMTEC 2002, International Conference on Mass and Charge Transport in Inorganic Materials – Fundamentals to Devices, Florence, Italie, 1518 juillet 2002 Gas P., Bergman C., d’Heurle F.M., Mangelinck D.- Reactive diffusion in thin films and multilayers.DSS-02, Diffusion, Segregation and Stresses International Workshop, Moscou, Russie, 27-30 mai 2002 Gas P., Mangelinck D., Girardeaux C., Portavoce A.- Reaction and diffusion at interfaces of nanostructured materials.- E-MRS2002, European Materials Research Society Spring Meeting, Symposium on Micro and Nanostructures Materials, Strasbourg, 18-21 juin 2002 Guinneton F.- Couleurs, apparences et effets spéciaux.- Thermochromic pigments, CoRI Coatings, Paris, 14-15 novembre 2002 Lannoo M., Delerue C., Allan G., Niquet Y.M.- Confinement effects and tunneling through quantum dots.- NEOP Workshop, International Workshop on Nanostructures for Electronics and Optics, Dresden, Germany, 18-21 August 2002 Lannoo M., Delerue C., Allan G., Niquet Y.M.- Confinement effects and tunneling through quantum dots.- The Royal Society Discussion Meeting "Quantum dots : science on the smallest scale ?", London, England, 17-18 April 2002 Lollman D.B.B.- Dépôt de couches minces par pulvérisation cathodique (sputtering) : analyse et contrôle des paramètres.- GFCC2002, Colloque Annuel du Groupe Français de Croissance Cristalline, Toulon, France, 13-15 mars 2002 Moya G., Moya-Siesse D.- Approche thermodynamique des solides non conducteurs chargés.Deuxièmes Journées sur les Matériaux Diélectriques, Société Tunisienne de Physique, Mahdia, Tunisie, 24-26 janvier 2002 Valmalette J.C.- Les apports de la diffraction de rayons X et de la spectroscopie Raman à l’étude expérimentale d’une corrosion nanostructurante.- SRCPM-2, Spectroscopie Raman et Chimiephysique des matériaux (transitions de phases, couches minces et modélisation), Ecole de Physique des Houches, France, 10-17 mars 2002 Coulet M.V.- Stabilité thermodynamique des structures apatites de type Ca10-n(TR)n(SiO4)n(PO4)6Conférence plénière NOMADE, Paris, mars 2002. nF2 , Martinuzzi S., Palais O. .- Gettering and lifetime engineering in silicon wafers.- 202nd Electrochemical Society Meeting – High Purity Silicon VII - Salt Lake City – octobre 2002 – Utah USA. Pichaud B.- Dislocation relaxation mechanisms in low misfit systems heteroepitaxial films of semiconducting materials.- NATO advanced Research workshop on Extended Defects in wide gap materials-EDS 2002 extended defects in semiconductors, Bologna, Italy, june 1-6 (2002) Thibault J.- Etude par MEHR de l’accommodation des contraintes aux interfaces : des joints de grains aux multicouches.- Congrès Matériaux 2002 (Tours – oct 2002). Thibault J., Bayle-Guillemaud P., Dressler C.- Strain measurement in multilayers by HREM image processings. .- Euro Conf : Structure and Composition of Interfaces in Solids (Irsee - Août 2002). 204 CONFERENCES INVITEES RAPPORT D’ACTIVITE 2002 - 2006 Thomas O.- Strain, shape and magnetic anisotropies in ultrathin Fe films on GaAs (001).- Cornell High Energy Synchrotron Source, Ithaca NY – CHESS Annual Users Meeting – 18-19 Juin 2002 Thomas O.- 1) Nanostructures for electronic applications: when interfaces play a major role.- 2) Mechanical properties of thin films: why is it different from the bulk ?.- International School on Advanced Materials Science and Technology: 4th Course Nanostructures in Technology and Biomedicine – Jesi, Ancona – 2-6 Septembre 2002 2003 Aguir K.- Des nanotechnologies aux capteurs.- Journées "Les nanotechnologies et leurs applications", Prague, République Tchèque, septembre 2003 Bravaix A., Goguenheim D., Revil N., Vincent E.- Hot- carrier reliability dependence with digital applications in deep submicrometer CMOS technologies.- Agilent Technologies 9th European User Group Meeting, Füssen, Germany, Escoubas L., Simon J.-J., Loli M., Berginc G., Flory F., Lemarquis F., Enoch S., Giovannini H., Near infrared antireflective silicon grating working in the resonance domain Invited Conf. “Design and Technology of Optical Coatings”, September 24-26, 2003, Bonassola, Italie Flory F., Escoubas L., Drouard E., Simon J.J., From optical interference coatings to optical micro/nano components”.- Invited Conf. Pres. at ROMOPTO 2003 Roumanie Gas P.- François d’Heurle : Microelectronics and basic research in material science.- MAM 2003, European Workshop on Materials for Advanced Metallization, Session : Special Tribute to François d’Heurle, La Londe, France, 9-12 mars 2003 Gillet M., Masek K., Gillet E.- Structure of tungsten oxide nanoclusters.- ECOSS-22, 22nd International Conference on Surface Science, Praha, Czech.Republic, 7-12 September 2003 Girardeaux C., Aufray B., Rolland A. and Bernardini J.- Experimental surface segregation studies: influence of the structure of the surface.- IWSIS-4, 4th International Workshop on Surface and Interface Segregation, Faure, South Africa, 17-22 August 2003 Guillaumot B., Garros X., Lime F., Oshima K., Chroboczek J.A., Masson P., Truche R., Papon A.M., Martin F., Damlencourt J.F., Maitrejean S., Rivoire M., Leroux C., Cristoloveanu S., Ghibaudo G., Autran J.L., Skotnicki T., Deleonibus S.- Metal gate and high-k integration for advanced CMOS devices.- 8th International Symposium on Plasma- and Process-Induced Damage, CorbeilEssonnes, France, 24-25 April 2003 Nunzi J.M., Hubert C., Fiorini-Debuisschert C., Raimond P., Simon J.J., Escoubas L., Spontaneous optical structuring effect in azo-polymers.- Invited Conf. French-Japanese workshop on Molecular Photonics and Biophotonics at Micro and Nano scale Hyogo Japon 27 – 31 Octobre 2003 Pey K.L. , Lee P.S., Mangelinck D., Chi D.Z.- Effects of Pt in Ni(Pt) alloy silicidation with (100) Si and poly-Si.- 2nd International Conference on Materials for Advanced Technologies & IUMRS – International Conference in Asia 2003, Singapore, 29 June – 4 July 2003 Valmalette J.C., Isa M., Lucazeau G., Niepce J.C.- Recent observations on the stability of very small ZrO2 crystals.- EUROMAT, Symposium Nanostructured Materials - European Congress on Advanced Materials and Processes, Lausanne, Switzerland, 1-5 September 2003 Chamard V.- La diffusion des rayons X en incidence rasante: mesure de l’ordre des contraintes et de la composition chimique dans les nanostructures semiconductrices.- Journées Surface et Interfaces, Villeneuve d’Ascq, janvier 2003. Pichaud B.- Mécanismes de l’intéraction impureté métallique-cavité dans le Si monocristallin.Défauts étendus dans les semi-conducteurs, Poitiers Octobre 2003 Thibault J.- Atomic structure of asymmetrical GBs in Ge : comparison with symmetrical GBs.- Int. Conf. Interfaces in Advanced Materials (Chernogolovka-mai 2003). PRODUCTIONS 205 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Thomas O.- La courbure induite par un dépôt : une mesure directe de contrainte.- Atelier RELAX – GdR “Relaxation des contraintes dans les couches nanométriques épitaxiées” – Aussois Mars 2003 Thomas O.- Interplay between anisotropic strain relaxation and uniaxial interface magnetic anisotropy in epitaxial Fe films on (001) GaAs.- Int. Conference on Magnetic and Superconducting Materials – Monastir, Tunisie – sept 1-4 2003 2004 Autran J.L., Munteanu D., Houssa M.- Electrical modeling and simulation of high-k dielectric-based MOS devices.- Symposium D on High-k Insulators and Ferroelectrics for Advanced Microelectronic Devices, Materials Research Society Spring Meeting, San Francisco, USA, March 2004 Autran J.L., Munteanu D., Houssa M.- Innovative oxides for microelectronics: electrical properties and integration aspects.- 16th International Vacuum Congress, Venezia, Italie, 28 juin-2 juillet 2004 Bernardini J. et Girardeaux C.- Diffusion in nanomaterials.- 6th International Conference on Diffusion in Materials (DIMAT), Cracovie, Pologne, 18-23 July 2004 Bescond M., Nehari K., Cavassilas N., Munteanu D., Lannoo M., Autran J.L.- Quantum transport modeling of nanoscale MOSFETs.- LITHO2004, Ultimate Lithography and Nanodevice Engineering, Agelonde, France, 13-16 juin 2004 Chmielowska M., Kopia A., Kusinski J., Leroux Ch., Gavarri J.R.- Texture modifications in copper doped ceria thin films by pulsed laser deposition technique.- AMT'2004, XVIIth Physical Metallurgy and Materials Science Conference, Advanced Materials & Technologies, Lodz-Artorowek, Poland, 2004 Gas P., Bergman C., D’Heurle F.M., Mangelinck D.- Diffusion and reaction in nanoscale systems.6th International Conference on Diffusion in Materials (DIMAT), Cracovie, Pologne, 18-23 July 2004 Girardeaux C., Aufray B., Bernardini J.- Evolution of ultra thin deposits (diffusion, segregation) and surface alloys.- 6th International Conference on Diffusion in Materials (DIMAT), Cracovie, Pologne, 18-23 July 2004 Houssa M.- Electrical characteristics of high-k based MOS devices.- Symposium D on High-k Insulators and Ferroelectrics for Advanced Microelectronic Devices, Materials Research Society Spring Meeting, San Francisco, USA, March 2004 Madigou V., Villain S., Nihoul G.- Structure of ferroelectric Aurivillius phases studied by transmission electron microscopy.- AMT'2004, Advanced Materials & Technologies, XVIIth Physical Metallurgy and Materials Science Conference, Lodz-Artorowek, Poland, June 2004 Mangelinck D.- Potential of Ni(Pt)Si for the salicide process.- Workshop on Contacting Materials for Advanced Semiconductor Devices, Gand, Belgique, 24 septembre 2004 Mangelinck D.- Synthesis and stability of some silicide/silicon interface in microelectronics: diffusion and reaction.- 6th International Conference on Diffusion in Materials (DIMAT), Cracovie, Pologne, 18-23 July 2004 Mangelinck D., Gas P.- Synthèse et stabilité des interfaces siliciures/semiconducteurs: approche thermocinétique.- Colloque Joints Intergranulaires et Interphases dans les Matériaux, Grenoble, 13 Juin 2004 Menou N., Madigou V., Turquat Ch., Goguenheim D., Muller Ch., Goux L., Lisoni J., Schwitters M., Wouters D.J., Barrett R., Hodeau J-L.- Side walls contribution in integrated 3D SBT-based capacitors: electrical and microstructural point of view.- EMRS 2004, European Materials Research Society, Strasbourg, France, mai 2004 206 CONFERENCES INVITEES RAPPORT D’ACTIVITE 2002 - 2006 Muller C.- Stockage haute densité : une nécessité pour l'informatique, un défi pour la microélectronique.- BDA, 20èmes Journées Bases de Données Avancées, Montpellier, France, octobre 2004 Muller C.- Technologie FeRAM : fiabilité et mécanismes de défaillance.- ANADEF, 9ème Atelier "Analyse et mécanismes de défaillance des composants pour l'électronique", Port d'Albret, France, juin 2004 Saitzek S., Villain S., Fremy M-A., Leroux C., Nolibe G., Gavarri J-R.- Nanostructured pure and doped ceria catalysts for gas sensor applications.- AMT'2004, Advanced Materials & Technologies, XVIIth Physical Metallurgy and Materials Science Conference, Lodz-Artorowek, Poland, June 2004 Schenk T., Nguyen Thi H., Gastaldi J., Reinhart G., Cristiglio V., Mangelinck-Noël N., Klein H., Härtwig J., Grushko B., Billia B., Baruchel J.- Application of synchrotron X-ray imaging to the study of directional solidification of aluminium – based alloys.- ICCG14, 14th International Conference on Crystal Growth, Grenoble, France, 9-13 août 2004 Deschamps A., Genevois C., Nicolas M., Perrard F. .- Study of precipitation kinetics : towards non-isothermal and coupled phenomena.- J.D. Embury honnorary symposium, McMaster University, Hamilton, Canada, june 21-23, 2004 Flory F., Escoubas L., Simon J.J., Torchio P., Reflexion on the Future of Photonics.- Invited conference at Fifth International Conference on Thin Films Physics and Applications (TFPA’2004) Shangai Chine (2004) Thibault J.- La microscopie élctronique en transmission : de l’image à la mesure.- 9eme Journées de la matière condensée, SFP Conférence semi-pleinière (Nancy- sept 2004). Thibault J.- Accurate determination of the GB atomic configurations.- IPAM 2004 : Interfacial processes and properties of Advanced materials (Caen –juin 2004). Thomas O.- Contraintes mécaniques dans des films minces d’oxydes épitaxiés : en quoi est-ce différent des métaux et semi-conducteurs ?.- GdR RELAX Atelier thématique « Contraintes et mécanismes de relaxation dans les couches minces d’oxydes épitaxiées – 3 et 4 juin 2004 Grenoble Thomas O.- Stress development during the reactive formation of silicide films .- DIMAT2004 6th International Conference on Diffusion in Materials– Cracovie, Pologne - juillet 2004 Thomas O.- Elasticity and plasticity in metal nanostructures.- International Max Planck Research School for Advanced Materials : Nanomaterials Science and Engineering, Stuttgart – Sept 27-29 2004 Thomas O.- Stress development during the reactive formation of silicide films.- 3e Workshop STCNRS Micro-nano électronique: “Nouveaux matériaux pour nano-CMOS”– Crolles – 26 novembre 2004 Thomas O.- X-ray scattering: a wonderful tool to probe lattice strains in materials with small dimensions.- Materials Research Society Fall meeting – Boston, décembre 2004 2005 Andreoli G., Courtade L., Muller C., Goux L., Turquat C., Ferrandis P., Wouters D.J.- Reliability of FeRAM test vehicles under simultaneous electrical and irradiative stresses.- ISDS'05, International Symposium on micro and nanoscale domain structuring in ferroelectrics, Ekaterinburg, Russia, novembre 2005 Bernardini J., Girardeaux C., Rolland A.- Grain boundary diffusion : Past, present and future.- 5th International Conference on Diffusion in Solids - Past, Present and Future, Moscou, Russie, mai 2005 Billia B., Nguyen Thi H., Gastaldi J., Schenk T., Reinhart G., Mangelinck N., Grushko B., Klein H., Hartwig J., Baruchel J., Cristiglio V.- Characterization of the growth morphology in dendritic and PRODUCTIONS 207 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE quasicrystal solidification by means of in situ and real time synchrotron X−ray imaging.- ACCGE16, 16th American Conference on Crystal Growth and Epitaxy, Big Sky, Montana, USA, 11 juillet 2005 Ghorayeb A.M.- Résonance de spin électronique dans des systèmes de spins quantiques.- Réunion 2005 de l’Association Française de Résonance Paramagnétique Electronique (ARPE), La RPE en France : Perspectives et Enjeux Scientifiques, Autrans, France, 12–14 décembre 2005 Hayn R.- Spinons and holons in 1D frustrated spin chains.- International Conference Selected Topics in Theoretical Physics, Tbilissi, Georgia, 18-23 septembre 2005 Hayn R.- Symmetry analysis of ARPES and EELS : probing the standard model of HTSC.CORPES05, Dresden, Allemagne, 4-8 avril 2005 Mangelinck D.- Synthesis and stability of silicide/semiconductor interfaces: Diffusion, reaction and alloying.- International Conference on Diffusion in Solids – Past, Present and Future, Moscou, Russie, mai 2005 Stepanov A.- Pulsed EPR in diluted magnetic semiconductors.- International Exploratory Workshop : Manipulating Quantum Spins and Classical Dots, Les Houches, France, 26-29 avril 2005 Martinuzzi S., Palais O., Ostapenko S. .- Scanning techniques applied to the characterisation of p and n type multicristalline silicon.- DRIP XI (2005) Shangai – Chine Pichaud B.- Intrinsic versus extrinsic origin of electrical/optical properties of dislocations in silicon.Workshop Current Trends in Silicon Related Compounds, Milan 17-18 Juin 2005 Thomas O.- The use of X-ray scattering to study lattice strains in materials with small dimensions.- Max-Planck-Institut für Metallforschung – Stuttgart – Materials Science Colloquium Jan 17 2005 Thomas O.- X-ray scattering: a powerful probe of lattice strain in materials with small dimensions.- EMRS Spring meeting Strasbourg juin 2005 208 CONFERENCES INVITEES RAPPORT D’ACTIVITE 2002 - 2006 Communications publiées avec actes édités 2002 Allan G., Lannoo M., Delerue C.- Bulk and nanocrystalline semiconductors.- in "Tight-binding Hamiltonians and their Applications", Turchi P.E.A. and Gonis A. (eds), Springer-Verlag, à paraître, 2002 Ananou B., Ksari Y., Regnier S., Marfaing J., Stepanov A., Touchard A., Rochette Y.- Temperature dependent study of diluted marine tertiary tephra by X-band ESR.- Proceedings of the European Geophysical Society Conference, Nice, France, EGSO2-A-01385;SE6.04-IM05P-080, 2002 Barthélemy H., Ferri G., Guerrini N.- A 1.5 V CCII-based tunable oscillator for portable industrial applications.- Proceedings of the IEEE International Symposium on Industrial Electronics (ISIE 2002), L'Aquila, Italy, vol. 4, p. 341–1345, 2002 Benielli D., Bergeon N., Dabo Y., Billia B., Jamgotchian H., Nguyen Thi H., Voge P.- Formation de l'état initial en solidification dirigée.- Matériaux 2002 : De la conception à la mise en oeuvre, Tours, 21-25 octobre 2002, CD-ROM, ISBN n° 2-914279-08-6, éditeur : UTMB (Université de Technologie Belfort-Montbéliard), 2002 Bescond M., Lannoo M., Goguenheim D., Autran J.L.- Towards a full microscopic approach to the modeling of nanotransistors.- Proceedings of the 4th Symposium on SiO2 and Advanced Dielectrics, Trento, Italy, à paraître, 2002 Bravaix A., Gauthé L., Goguenheim D., Revil N., Rubaldo L., Vincent E.- Efficiency of interface trap generation under hole injections in 2.1nm thick gate-oxide P-MOSFET's.- Proceedings of the 4th Symposium on SiO2 and Advanced Dielectrics, à paraître, 2002 Bravaix A., Goguenheim D., Revil N., Vincent E.- Comparison of low leakage and high speed deep submicron PMOSFET's submitted to hole injections.- Proceedings of the IEEE Integrated Reliability Workshop (IRW'02), Stanford Sierra Camp, Lake Tahoe, USA, à paraître, 2002 Bravaix A., Trapes C., Goguenheim D., Revil N., Vincent E.- Carrier injection efficiency for the reliability study of 3.5-1.2nm thick gate-oxide CMOS technologies.- Proceedings of the 12th Workshop on Dielectrics in Microelectronics (WoDim'O2), Grenoble, France, à paraître, 2002 Canet P., Bouchakour R., Razafindramora J., Lalande F., Mirabel J.M.- Very fast EEPROM erasing study.- Proceedings of the 28th European Solid-State Circuits Conference (ESSCIRC'2002), Florence, Italy, p. 683-686, 2002 Casadei B., J. P. Le Normond, B. Cunin and Y. Hu.- Design of a fast CMOS APS imager for high speed laser detectors.- Kluwer Academic Publishers, à paraître, 2002 Cavassilas N., Aniel F., Fishman G.- Energy-band structure of strained indirect gap semiconductor : A k.p method.- Proceedings of the 5th International Conference on Computational Nanoscience and Nanotechnology (ICCN 2002), Puerto Rico, USA, 22-25 April 2002, p. 411-414, 2002 Cavassilas N., Autran J.L.- Capacitance-voltage characteristics of metal-oxide-strained semiconductor Si/SiGe heterostructures.- Proceedings of the 5th International Conference on Modeling and Simulation of Microsystems (MSM'2002), Puerto Rico, USA, 22-25 April 2002, à paraître, 2002 Delauche F., Affour B., Dufaza C.- Parametric yield optimization of MEMS.- Proceedings of the Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS (DTIP'2002), Cannes, France, p. 126-135, 2002 Deleruyelle D., Fraboulet D., De Salvo B., Buffet N., Martin F., Mariolle D., Baron T., Autran J.L., Guillaumot B.- Electrical characterization of memory cell structures based on multiple tunnel junctions with embedded Si nanocrystals.- Proceedings of the IEEE 2002 Silicon Nanoelectronics Workshop, Honolulu, USA, 9-10 June 2002, à paraître, 2002 PRODUCTIONS 209 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Drevet B. , Camel D., Dabo Y., Nguyen Thi H., Billia B.- Ségrégations induites par la fusion et la stabilisation thermique précédant la solidification dirigée : comparaison des systèmes Al-Li et AlNi.- Matériaux 2002 : De la conception à la mise en oeuvre, Tours, 21-25 octobre 2002, CD-ROM, ISBN n° 2-914279-08-6, éditeur : UTMB (Université de Technologie Belfort-Montbéliard), 2002 Goguenheim D., Trapes C., Bravaix A.- Comparison of degradation modes in 1.2-2.1 nm thick oxides submitted to uniform and hot carrier injections in NMOSFETS.- Proceedings of the 4th Symposium on SiO2 and Advanced Dielectrics, Trento, Italy, à paraître, 2002 Guérin R., El Ganaoui M., Haldenwang P.- Spectral and finite volume numerical approximations for solutal convection in melted alloys.- in "High Performance Scientific and Engineering Computing", M. Breuer, F. Durst, C. Zenger (eds), Lecture Notes in Computational Science and Engineering, vol. 21, Springer-Verlag, p. 253-260, 2002 Guérin R., Lamazouade A., El Ganaoui M., Haldenwang P.- Numerical study for solutal convection in liquid Pb-30% Tl alloy by spectral and finite volume approximations.- in "Progress in Industrial Mathematics at ECMI 2000", A.M. Anile, V. Capasso, A. Greco (eds.), Mathematics in Industry Series, vol. 1, Springer-Verlag, p. 210-217, 2002 Ionescu A.M., Munteanu D.- New compact model for generation drain current transients in weak and moderate inversion regimes of submicron floating-body PD SOI MOSFETs.- Proceedings of the 5th International Conference on Modeling and Simulation of Microsystems (MSM'2002), Puerto Rico, USA, 22-25 April 2002, p. 754-758, 2002 Kussener E., Barthélemy H.- Versatile macromodel for the power supply of submicronic CMOS microprocessors based on voltage down DC-DC converter.- Proceedings of the IEEE International Symposium on Circuits and Systems (DTIP'2002), IEEE Proceedings 02CH37353C, T. Homan (ed), vol. 5, p. 821-824, 2002 Labbé A., Pérez A.- AES implementation on FPGA : time – flexibility tradeoff.- Proceedings of the12th International Conference on Field-Programmable Logic and Applications (FPL’2002), Springer LNCS 2438, Montpellier, France, p. 836-844, 2002 Laffont R., Razafindramora J., Canet P., Bouchakour R., Mirabel J.M.- Decreasing EEPROM programming bias with negative voltage, reliability impact.- Proceedings of the IEEE International Workshop on Memory Technology, Design and Testing, Isle of Bendor, France, p. 168-173, 2002 Liebault J., Siesse-Moya D., Moya F., Zarbout K., Damamme G., Moya G.- Determination of charge trapping ability in doped alumina.- Annual Report CEIDP 2002, Cancun, Mexico, IEEE Proceedings 02CH37372, p. 652-655, 2002 Masson P., Autran J.L., Garros X., Leroux C.- Frequency characterization and modeling of the capacitance response of interface traps in MOS structures with HfO2 gate dielectrics.- Proceedings of the 3rd European Workshop on Ultimate Integration of Silicon (ULIS 2002), Munich, Germany, 7-8 March 2002, à paraître, 2002 Masson P., Militaru L., De Slavo B., Ghibaudo G., Celibert V., Baron T.- Nano-crystal memory devices characterization using the charge pumping technique.- Proceedings of the 32nd European Solid-State Device Research Conference (ESSDERC'2002), Firenze, Italy, G. Baccarani (ed), University of Bologna, p. 235-238, 2002 Monfray S., Skotnicki T., Morand Y., Descombes S., Coronel P., Mazoyer P., Harrison S., Ribot P., Talbot A., Dutartre D., Haond M., Palla R., Le Friec Y., Leverd F., Nier M.E., Vizioz C., Louis D.- 50 nm Gate All Around (GAA) – Silicon On Nothing (SON) – Devices : A simple way to co-integration of GAA transistors with bulk MOSFET process.- Proceedings of the 2002 VLSI Symposium on Technology, Honolulu, USA, 11-13 June 2002, à paraître, 2002 Munteanu D., Autran J.L.- Two-dimensional modeling of quantum ballistic transport in ultimate double-gate SOI devices.- Proceedings of the 3rd European Workshop on Ultimate Integration of Silicon (ULIS 2002), Munich, Germany, 7-8 March 2002, à paraître, 2002 Nguyen Thi H., Gastaldi J., Jamgotchian H., Haertwig J., Baruchel J., Billia B., Dabo Y., Klein H.Etude de la solidification dirigéee par imagerie X.- Matériaux 2002 : De la conception à la mise en 210 COMMUNICATIONS AVEC ACTES EDITES RAPPORT D’ACTIVITE 2002 - 2006 oeuvre, Tours, 21-25 octobre 2002, CD-ROM, ISBN n° 2-914279-08-6, éditeur : UTMB (Université de Technologie Belfort-Montbéliard), 2002 Portal J.M., Forli L., Aziza H., Née D.- An automated design methodology for EEPROM cell (ADE).Proceedings of the IEEE International Workshop on Memory Technology, Design and Testing, Isle of Bendor, France, p.-, 2002 Portal J.M., Forli L., Aziza H., Née D.- An automated geometric defect diagnosis methodology for EEPROM cell (AGDE).- Proceedings of the IEEE European Test Workshop, Corfu, Greece, p.-, 2002 Portal J.M., Forli L., Aziza H., Née D.- An automated methodology to diagnose geometric defect in the EEPROM cell.- Proceedings of the IEEE International Test Conference, Baltimore, USA, p.-, 2002 Portal J.M., Forli L., Née D.- Floating-gate EEPROM cell model based on MOS model 9.Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Scottsdale, USA, p.-, 2002 Portal J.M., Forli L., Née D.- Floating-gate EEPROM cell: threshold voltage sensibility to geometry.Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Scottsdale, USA, p.-, 2002 Rahajandraibe W., Auvergne D., Dufaza C., Cialdella B., Majoux B., Chowdhury V.- Very low power high temperature stability bandgap reference voltage.- Proceedings of the IEEE European SolidState Circuit Conference (ESSCIRC’ 2002), Florence, Italy, p. 727-730, 2002 Rahajandraibe W., Auvergne D., Dufaza C., Cialdella B., Majoux B., Chowdhury V.- Process characterization for a very low power high temperature stability bandgap reference circuit.Proceedings of the 17th Design of Circuits and Integrated Systems Conference (DCIS' 2002), Santander, Spain, p.- , ISBN 84-8102-311-6, 2002 Rahajandraibe W., Dufaza C., Auvergne D., Cialdella B., Majoux B., Chowdhury V.- Low current application dedicated process characterization method.- Proceedings of the IEEE International Conference on Microelectronic Test Structures (ICMTS’02), Cork, Ireland, p. 41-44, 2002 Rahajandraibe W., Dufaza C., Auvergne D., Cialdella B., Majoux B., Chowdhury V.- Test structure for IC(VBE) parameter determination of low voltage applications.- Proceedings of Design Automation and Test in Europe (DATE'2002), Paris, France, p. 316-321, 2002 Rahajandraibe W., Dufaza C., Auvergne D., Cialdella B., Majoux B., Chowdhury V.- Méthode de caractérisation dédiée aux applications à faible courant de fonctionnement.- Actes du 3ème Colloque CAO de Circuits et Systèmes Intégrés, Paris, France, p. 133-136, 2002 Rahajandraibe W., Dufaza C., Auvergne D., Cialdella B., Majoux B., Chowdhury V.- On chip measurement of IC(VBE) characteristics for high accuracy bandgap applications.- Proceedings of the IEEE International Caracas Conference on Devices, Circuits and Systems (ICCDCS’02), Aruba, Dutch Caribbean, p.-, 2002 Renard S., Boivin P., Autran J.L.- Wafer-level characterization of EEPROM tunnel oxide using a fast floating-gate technique and a realistic memory vell-based test structure.- Proceedings of the IEEE 2002 International Conference on Microelectronic Test Structures (ICMTS 2002), Cork, Ireland, 811 April 2002, à paraître, 2002 Tatinian W., Pannier P., Gillon R.- A new methodology for the computation of the substrate parasitics of octogonal inductors.- Radio Frequency Integrated Circuits (RFIC) 2002 Symposium, Seattle, USA, Digest of Papers, p. 319-322, 2002 Tatinian W., Pannier P., Gillon R.- A new methodology for the computation of the substrate parasitics of octogonal inductors.- Microwave Symposium Digest, 2002 IEEE MTT-S International, Seattle, USA, vol. 1, p. 165-168, 2002 PRODUCTIONS 211 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Trapes C., Bravaix A., Goguenheim D.- Impact of carrier injection in 2.2 nm thick SiO2 oxides after first and substrate enhanced electron injection.- Proceedings of the 4th Symposium on SiO2 and Advanced Dielectrics, Trento, Italy, à paraître, 2002 Trapes C., Goguenheim D., Bravaix A.- Comparaison des injections en mode d'ionisation primaire et secondaire sur des NMOSFET's de 2.2 nm d'épaisseur d'oxyde.- Actes des Vèmes Journées Nationales du Réseau Doctoral de Micro-électronique, Grenoble, p.192-193, 2002 Ersen O., Pierron-Bohnes V., Ulhaq-Bouillet C., Pirri C., Tuilier M.H., Berling D., Gailhanou M., Thiaudiere D.- Crystallographic structure of ternary silicide nanocrystallites in thin films in epitaxy on Si(111): a DAFS and HRTEM study.- 7th International Conference on Nanometer-Scale Science and Technology and 21st European Conference on Surface Science. Lund Univ. 2002, pp. 2. Lund, Sweden Izard V., Record M.C., Tedenac J.C., Haines J.- Sb3Zn4, a promising new thermoelectric material. Elaboration and characterisation.- Mat. Res. Soc. Symp. Proc., 691, G8.35.313-318 (2002) Martinuzzi S., Palais O.- Gettering and lifetime engineering in silicon wafers .- High Purity Silicon VII, Ed; by C. Claeys, ECS PV2002-20, p 233-48 ( 2002) Nowak D., Thomas O., Baker S., Stach E., Balzuweit E.A., Dahmen U.- X-ray diffraction analysis and modeling of strain induced thermal cycling in a thin aluminum (110) bicrystal film .- Mat. Res. Soc. Symp. Proc. 695, 3-8 (2002) Tedenac J.C., Record M.C., Izard V.- Thermodynamic calculations in new thermoelectric materials. Application to processes.- Mat. Res. Soc. Symp. Proc., 691, G1.3.1-6 (2002) Escoubas L., Drouard E., Flory F., Modeling of optical guided - wave filters.- Proceedings SPIE, Vol. 4640, 22 – 28 (2002) Flory F., Escoubas L., Drouard E., Study of the refractive index of nano - structured optical materials.- Proceedings SPIE Vol.4640, 205 – 21 (2002) Lancok J., Jelínek M., Oswald J., Bulir J., Escoubas L., Flory F., Atanasov P. A., Koleva M., Nd doped KGW crystalline waveguides fabricated by pulsed laser deposition.- Proceedings SPIE vol. 4762,p 162 - 165 (2002) 2003 Artigue O., Enguent J.P., Tételin C.- S parameter model for contacless smart card.- Proceedings of the European Microwave Week, European Conference on Wireless Technology, Münich, Germany, récompensé par l'« European Conference Wireless Technologies Young Engineers Prize », 2003 Autran J.L., Munteanu D., Houssa M.- Potential fluctuations in high-k based MOS devices.Proceedings of the 203rd Meeting of the Electrochemical Society, Symposium F1 "Science and technology of dielectrics in emerging fields", Paris, France, vol. PV2003-01, p. 383-392, 2003 Barthélemy H, Meillère S.- High gain CMOS class AB OpAmp based on current-controlled currentconveyors.- Proceeding of the 2003 European Conference on Circuits Theory and Design, (ECCTD), Kraków, Poland, vol.1, p. 70-73, 2003 Barthélemy H.- Current mode and voltage mode : basic considerations.- Proceeding of the 46th IEEE Midwest Symposium on Circuits and Systems, Cairo, Egypt, 2003 Barthélemy H., Rahajandraibe W.- NMOS transistors based Karsilayan & Schaumann gyrator : lowpass and bandpass filter applications.- Proceeding of the 46th IEEE Midwest Symposium on Circuits and Systems, Cairo, Egypt, 2003 Barvinschi F., Hodroj A., Sylla L., Delannoy Y., Mangelinck-Noël N., Duffar T. et Boulechfar H., Durand F.- Etude des mécanismes des procédés de solidification du silicium multicristallin.- Actes des Rencontres et Journées techniques sur les matériaux et procédés pour la conversion photovoltaïque de l'énergie solaire, Séminaire ADEME-CNRS, Sophia-Antipolis, 18-19 novembre 2003 212 COMMUNICATIONS AVEC ACTES EDITES RAPPORT D’ACTIVITE 2002 - 2006 Bernardini S., Laffont R., Masson P., Ghibaudo G., Lombardo S. De Salvo B., Gerardi C.- A predictive nano-crystal Flash memory simulator.- Proceedings of 4th European Workshop on Ultimate Integration of Silicon (ULIS 2003), Udine, Italy, p. 143-146, 2003 Bernardini S., Masson P., Houssa M.- Effect of fixed dielectric charges on tunneling transparency in MIM and MIS structures.- Proceedings of the 13th bi-annual Conference INsulating Film On Silicon, (INFOS'2003), Barcelona, Spain, p. PS12, 2003 Bernardini S., Masson P., Houssa M., Lalande F.- Impact of oxide charge trapping on I-V characteristics of MIM capacitor.- Proceedings of the 33rd European Solid-State Device Research Conference (ESSDERC'2003), Estoril, Portugal, p. 589-592, 2003 Bernardini S., Masson P., Houssa M., Lalande F.- Determination of oxide charge repartition in memory tunnel oxide under stress from Fowler-Nordheim current measurements.- Proceedings of the 33rd European Solid-State Device Research Conference, Estoril, Portugal, p. 589-592, isbn 07803-7999-3, 2003 Bescond M., Autran J.L., Lannoo M.- Modélisation atomique d’un transistor MOSFET double grille.Proceedings 1ères journées « Composants Micro et Nano-électroniques» GDR Nano-électronique, Grenoble, France, p. 97, 2003 Bescond M., Autran J.L., Lannoo M.- Quantum transport simulation in double-gate nano-transistors using the Green’s function approach and the tight-binding approximation.- Proceedings of the 4th European workshop on Ultimate Integration of Silicon (ULIS 2003), Udine, Italy, E. Sangiorgi and L. Selmi (eds), p. 121-124, 2003 Bescond M., Autran J.L., Munteanu D., Cavassilas N., Lannoo M.- Atomic-scale modeling of sourceto-drain tunneling in ultimate Schottky barrier double-gate MOSFET’s.- Proceedings of the 33rd European Solid-State Device Research Conference (ESSDERC'2003), Estoril, Portugal, 16-18 September 2003, Editions Frontières, p.395-398, 2003 Bizzari C., Houssa M., Autran J.L.- Simulation of bias temperature instabilities in pMOSFETs with HfxSiOy-based gate dielectrics.- Proceedings of the 204th Meeting of the Electrochemical Society, 12-17 octobre 2003, Orlando, USA Bravaix A., Goguenheim D., Revil N., Vincent E.- Hole injection enhanced hot-carrier degradation in PMOSFETs used for system on chip applications with 6.5-2nm thick gate-oxide.- Proceedings of the 14th European Symposium Reliability of Electron Devices (ESREF), Arcachon, France, 2003 Canet P., Lalande F., Bouchakour R., Martin M.- Non volatile memory cell design : sizing assisted by a predictive model.- Proceedings of the 4th Annual Non-Volatile Memory Technology Symposium, (NVMTS2003), San Diego, California, p.13-1-13-4, 2003 Deleruyelle D., Le Royer C., De Salvo B., Mariolle D., Baron T., Le Carval G., Fraboulet D., Autran J.L., Deleonibus S.- A nano-scaled multiple-tunnel-junction memory employing silicon nano crystals as charge injectors.- Proceedings of the 2003 Silicon Nanoelectronics Workshop, 8-9 juin 2003, Kyoto, Japon Denais M., Huard V., Parthasarathy C., Ribes G., Perrier F., Revil N., Bravaix A.- Interface traps and oxide traps creation under NBTI and PBTI in advanced CMOS technology with a 2nm gateoxide.- 2003 IEEE International Integrated Reliability Workshop (IRW) Final Report, p. 1-6, 2003 Forli L., Portal J.M., Née D., Borot B.- Infrastructure IP for back-end yield improvement.Proceedings of the IEEE International Test Conference, Charlotte, NC, USA, p.1129-1134, 2003 Forli L., Portal J.M., Née D., Borot B.- Infrastructure IP for back-end yield improvement.Proceedings of the IEEE European Test Workshop, (ETW'03), Maastricht, Pays-Bas, p. 219-224, 2003 Gilibert F., Rideau D., Bernardini S., Scheer P., Minondo M., Roy D., Gouget G., Juge A.- Channel debiasing and gate current modelling in advanced CMOS devices.- Proceedings of 4th European Workshop on Ultimate Integration of Silicon (ULIS 2003), Udine, Italy, p. 61-64, 2003 PRODUCTIONS 213 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Girardeaux C., Aufray B., Rolland A. and Bernardini J.- Experimental surface segregation studies : influence of the structure of the surface.- Proceedings of the 4th International Workshop on Surface and Interface Segregation, (IWSIS-4), Faure, South Africa, p. 22-24, 2003 Harrison S., Coronel P., Leverd F., Cerutti R., Palla R., Delille D., Borel S., Jullian S., Pantel R., Descombes S., Dutartre D., Morand Y., Samson M.P., Lenoble D., Talbot A., Villaret A., Monfray S., Mazoyer P., Bustos J., Bru H., Cro A., Munteanu D., Autran J.L., Skotnicki T.- Highly performant double gate MOSFET realized with SON process.- Proceedings of the IEEE 2003 International Electron Device Meeting (IEDM 2003), 7-10 décembre 2003, Washington, Etats-Unis Houssa M., Aoulaiche M., Autran J.L.- Model for NBTI in pMOSFETs with ultrathin gate oxide layers : Comparison between electron and hole injection.- Abstract book of the 34th IEEE Semiconductor Interface Specialists Conference, 4-6 décembre 2003, Washington DC, USA., p. S7.2, 2003 Labbé A., Portal J.M., Pérez A.- DES-SRAM IP-Core : a SRAM embedding DES feature.Proceedings of the IEEE International SOC Conference (SOC'03), Portland, USA, p. 11-14, 2003 Labbé A., Pérez A., Portal J.M.- A SRAM modified for DES self-encryption.- Proceedings of the XVII Conference on Design of Circuits and Integrated Systems, DCIS 2003, Ciudad Real, Spain, isbn 84-87087-40-X, p. 362-365, 2003 Laffont R., P. Masson, P. Canet, B. Delsuc, R. Bouchakour, Mirabel J.M.- New Fowler Nordheim current determination in EEPROM cell from transient measurements.- Proceedings of the 33rd European Solid-State Device Research Conference (ESSDERC'2003), Estoril, Portugal, p. 71-74, 2003 Lopez L., Masson P., Née D., Bouchakour R.- Temperature and drain voltage dependence of gate induce drain leakage.- Proceedings of the 13th bi-annual Conference INsulating Film On Silicon, (INFOS'2003), Barcelona, Spain, p. PS14, 2003 Munteanu D., Autran J.L., Harrison S., Skotnicki T.- Unified analytical model of threshold voltage in symmetric and asymmetric double-gate MOSFETs.- Proceedings of the 4th European workshop on Ultimate Integration of Silicon (ULIS 2003), 20-21 mars 2003, Udine, Italy, E. Sangiorgi and L. Selmi (eds), p. 35-38, 2003 Payet F., Cavassilas N., Autran J.L.- Theoretical investigation of hole transport in strained Si inversion layer.- Proceedings of 4th European Workshop on Ultimate Integration of Silicon (ULIS 2003), Udine, Italy, 20-21 March 2003, E. Sangiorgi and L. Selmi (eds), p. 117-120, 2003 Portal J.M., Aziza H., Née D.- EEPROM memory : threshold voltage built In self diagnosis.Proceedings of the IEEE International Test Conference, Charlotte, NC, USA, p. 23-28, 2003 Portal J.M., Aziza H., Née D.- EEPROM memory diagnosis based on threshold current extraction.Proceedings of Design of Circuits and Integrated Systems Conference (DCIS‚03), Ciudad Real, Spain, ISBN 84-87087-40-X, p. 133-139, 2003 Portal J.M., Aziza H., Née D.- EEPROM memory : threshold voltage built in self diagnosis.Proceedings of the IEEE European Test Workshop, (ETW'03), Maastricht, Pays-Bas, p. 81-87, 2003 Portal J.M., Delsuc B., Bouchakour R., Boivin P., Taillet F., Née D.- EEPROM cell : design optimization methodology.- Proceeding of the 46th IEEE Midwest Symposium on Circuits and Systems, Cairo, Egypt, 2003 Rahajandraibe W., Dufaza C., Rashid T., Chowdhury V., Majoux B.- High temperature stability bandgap reference voltage using polysilicon resistors.- in "Computational Methods in Circuits and Systems Applications" (Electrical and Computer Engineering Series Book), WSEAS Press, ISBN 960-8052-88-2, p. 279-284, 2003 Rahajandraibe W., Dufaza C., Rashid T., Chowdhury V., Majoux B.- Performance bandgap reference voltage using polysilicon resistor.- Proceedings of Design of Circuits and Integrated Systems Conference (DCIS‚03), Ciudad Real, Spain, ISBN 84-87087-40-X, p. 509-514, 2003 214 COMMUNICATIONS AVEC ACTES EDITES RAPPORT D’ACTIVITE 2002 - 2006 Reinhart G., Zhou B.H., Nguyen Thi H., Dabo Y., Billia Liu Q.- Comparative Study of influence of natural and forced convection on columnar microstructures in directional solidification of Al – 3.5 wt% Ni.- Proceedings of 4th International Conference on Electromagnetic Processing of Materials 2003, Grenoble, France, 14-17 octobre 2003, CD-ROM Renovell M., Gallière J.M., Azaïs F., Bertrand Y., Portal J.M., Bouchakour R.- GOSMOS : a gate oxide short defect embedded in a MOS compact model.- Proceedings of the 4th IEEE-Latin American Test Worshop, (LATW'03), Natal, Brazil, p. 6-11, 2003 Scheybal A., Ramsvik T., Bertschinger R., Putero-Vuaroqueaux M., Morf P., Vanoni C., Schelldorfer R., Nolting F., Jung T.A.- Magnetic interaction between a magnetized substrate and adsorbed organic molecules probed by XMCD.- Laboratory for Micro- and Nanotechnology (LMN) scientific annual report, Paul Scherrer Institut, Villigen, Switzerland, 2003 Tatinian W., Pannier P., Gillon R.- A practical simulation-based study on MIM-capacitors processed in MOS technologies.- Proceeding of the 46th IEEE Midwest Symposium on Circuits and Systems, Cairo, Egypt, 2003 Tatinian W., Simoen E., Ouassif N., Desoete B., Gillon R., Pannier P.- Self-heating based model for polysilicon resistors.- Proceeding of the 46th IEEE Midwest Symposium on Circuits and Systems, Cairo, Egypt, 2003 Villain S., Gavarri J.R., Thommerel E., Kopia A., Musso J., Valmalette J.C., Pischedda M.H.Electrical percolation, reactivity and degradation in resistor-capacitor composite materials : modeling and predictions.- in "Recent Research Developments in Solid State Ionics", S.G. Pandalai (ed), Transworld Research Network, Trivandrum, India, 2003 Villaret A., R. Ranica, P. Masson, P. Mazoyer, S. Cristoloveanu, Skotnicki T.- Mechanisms of charge modulation in floating body of triple-well N-MOSFET capacitor-less DRAMs.- Proceedings of the 13th bi-annual Conference INsulating Film On Silicon, (INFOS'2003), Barcelona, Spain, p. WS3-7, 2003 Zhou B.H., Nguyen Thi H., Reinhart G., Dabo Y., Billia Liu Q., Lyubimova T.P., Roux B.- Directional solidification microstructures under natural and controlled convection conditions.- Proceedings of International Conference on “Advanced Problems in Thermal Convection”, Perm, Russie, 24-27 novembre 2003 Legros M., Dehm G., Balk T-J., Arzt E., Bostrom O., Gergaud P., Thomas O.- Plasticity-related phenomena in metallic films on substrates.- Mat. Res. Symp. Proc. 779, 63-74 (2003) Nicolas M., Deschamps A.- Modelling of the precipitation evolution during non - isothermal heat treatments in an Al Zn Mg alloy.- Proceedings of Euromat 2003, Lausanne : "Solid State Transformation and Heat Treatment " ed. A. Hazotte, Wiley-VCH 2005, p 61-68 (2003) Boucher Y., Drouard E., Escoubas L., Flory F., One-dimensional transfer matrix formalism with localised losses for fast designing of quasi-periodic waveguide filters.- Conférence “Optical Systems Design”, SPIE Proceedings vol. 5249, September-3 October (2003) Drouard E., Escoubas L., Flory F., Design of deeply etched planar waveguide filters of arbitrary spectral response.- Proceedings “European Conference on Optical Communications”, September 22-25, 2003, Rimini, Italie Lemarquis F., Lequime M., Albrand G., Escoubas L., Simon J. –J., Baudrand J., Riaud P., Rouan D., Boccaletti A., Mawet D.- Manufacturing of 4-Quadrant Phase Mask for nulling Interferometry in thermal infrared.- Proceedings SPIE vol. 5250 (2003) Escoubas L., Loli M., Simon J.–J., Giovannini H., Flory F., Lemarquis F., Enoch S., Berginc G., Design and fabrication of biperiodic AR gratings for the infrared.- Proceedings SPIE vol. 5250 (2003) Drouard E., Escoubas L., Flory F., Tisserand S., Roux L., Ion Implanted Integrated Optics (I3O®) technology for Planar Lightwave Circuits (PLCs) fabrication.- SPIE Proceedings vol. 524, Conférence “Optical Systems Design” St Etienne, 30 September-3 October (2003) PRODUCTIONS 215 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Elalamy Z., Drouard E., Escoubas L., Flory F., dn/dt measurements of solgel ZrO2 thin films.Conférence “Optical Systems Design” St Etienne, 30 September-3 October (2003), SPIE Proceedings, vol. 5250 Flory F., Fabre J.P.- An original syllabus in photonics at the Ecole Généraliste d’Ingénieurs de Marseille.- Proceedings 4th Education and Training in Optics and Photonics, Octobre 2003, Tucson 2004 Autran J.L., Aubert M., Tintori O., Munteanu D., Decarre E.- An analytical subthreshold current model for ballistic double-gate MOSFETs.- Technical Proceedings of the 2004 NSTI Nanotechnology Conference and Trade Show, vol. 2, p. 171-174, 2004 Autran J.L., Munteanu D., Houssa M.- Electrical modeling and simulation of nanoscale MOS devices with a high-permittivity dielectric gate stack.- Material Research Society Symposium Proceedings, vol. 811, p. D6-1, 2004 Autran J.L., Munteanu D., Tintori O., Harrison S., Decarre E., Skotnicki T.- Quantum-mechanical analytical modeling of threshold voltage in long-channel double-gate MOSFET with symmetric and asymmetric gates.- Technical Proceedings of the 2004 NSTI Nanotechnology Conference and Trade Show, vol. 2, p. 163-166, 2004 Aziza H., Portal J.M., Née D.- EEPROM threshold current extraction : silicon validation.Proceedings of the European Test Symposium, France, p. 81-87, 2004 Barthelemy H., Meillère S., Bourdel S.- Single ended rail-to-rail CMOS OTA based variablefrequency ring-oscillator.- Proceedings of the 2004 International Symposium on Circuits and Systems (ISCAS '04), vol. 4, p. 537-540, May 2004 Bernardini S., Masson P., Portal J.M., Gallière J.M., Renovell M.- Impact of gate oxide reduction failure on analog application : example of the current mirror.- Proceedings of the 5th LatinAmerican Test Workshop (LATW’04), Cartagena, Colombia, isbn 958-33-5900-9, p. 12-17, 2004 Bernardini S., Portal J.M., Masson P.- A tunneling model for gate oxide failure in deep sub-micron technology.- Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, (DATE'04), vol. 2, isbn 0-7695-2085-5, p. 1404-1406, 2004 Bescond M., Autran J.L., Cavassilas N., Munteanu D., Lannoo M.- Treatment of point defects in nanowire MOSFETs using the nonequilibrium Green's function formalism.- Proceedings of the 10th IEEE International Workshop on Computational Electronics (IWCE10), Purdue University, Indiana, USA, p. 84-85, 2004 Bescond M., Néhari K., Autran J.L., Cavassilas N., Munteanu D., Lannoo M.- 3D quantum modeling and simulation of multi-gate nanowire MOSFETs.- Proceedings of the 50th IEEE International Electron Device Meeting (IEDM 2004), San Francisco, Etats-Unis, p. 617-620, 2004 Borgetto M., Jauffret C., Rigaud V.- Underwater image mosaic correction.- 14ème conférence ISOPE, vol. II, p. 427-432, mai 2004 Bourdel. S., Pannier. P., Barthelemy H., Dehaese N.- Low cost solutions for 802.15.4 RF architectures.- Proceedings of the 8th IEEE International Symposium on Spread Spectrum Techniques and Applications, p. 967-971, September 2004 Canet P., Lalande F., Razafindramora J., Bouquet V., Postel-Pellerin J., Bouchakour R., Mirabel J.M.- Integrated reliability in EEPROM nonvolatile memory cell design.- Proceedings of IEEE NonVolatile Semiconductor Memory Workshop, Orlando, Florida, USA, p. 66–69, 2004 Castellani-Coulié K., Munteanu D., Ferlet-Cavrois V., Autran J.L.- Simulation analysis of the bipolar amplification in fully-depleted SOI technologies under heavy-ion irradiations.- Proceedings of the European Workshop on Radiation and its Effects on Components and Systems (RADECS’2004), Madrid, Espagne, p. 127-130, 2004 216 COMMUNICATIONS AVEC ACTES EDITES RAPPORT D’ACTIVITE 2002 - 2006 Castellani-Coulié K., Sagnes B., Saigné F., Palau J.M., Autran J.L., Calvet M.C.- Simulation studies of the parasitic structures involved in the SEU mechanisms in SRAMs.- Proceeding of the European Workshop on Radiation and its Effects on Components and Systems (RADECS’2004), Madrid, Espagne, p. 7-11, 2004 Chmielowska M., Kopia A., Kusinski J., Leroux Ch., Gavarri J.R.- Texture modifications in copper doped ceria thin films by pulsed laser deposition technique.- Proceedings of the XVIIth Physical Metallurgy and Materials Science Conference, Advanced Materials & Technologies, (AMT'2004), Lodz-Artorowek, Poland, Inzynieria Materialowa, NR3, 140, XXV Maj-Czerwiec, PL ISSN 02086247, Advanced Materials & technologies, p. 561-563, 2004 Coronel P., Harrison S., Cerutti R., Monfray S., Skotnicki T.- Highly performant Double-Gate MOSFET realized with SON process. How do we address the design and process for the GAA SON challenges.- Proceedings of the International Conference on Integrated Circuit Design and Technology (ICICDT 04), Austin, USA, p. 81-89, 2004 Coronel P., Harrison S., Cerutti R., Monfray S., Skotnicki T.- 3D integration of ultimate devices thanks to SiGe.- Proceedings of the 206th Meeting of the Electrochemical Society (ECS 04), Honolulu, USA, Symposium M2 (SiGe: Materials, Processing, and Devices), p. 701-718, 2004 De Jaeger R., Houssa M., A. Satta, S. Kubicek, P. Verheyen, J. Van Steenbergen, J. Croon, B. Kaczer, S. Van Elshocht, A. Delabie, E. Kunnen, E. Sleeeckx, I. Teerlinck, R. Lindsay, T. Schram, T. Chiarella1, R. Degraeve, T. Conard, J. Poortmans, G. Winderickx, W. Boullart, M. Schaekers, P.W. Mertens, M. Caymax, W. Vandervorst, E. Van Moorhem, S. Biesemans, K. De Meyer, L. Ragnarsson, S. Lee, G. Kota, G. Raskin, P. Mijlemans, Autran J.L., V. Afanas’ev, A. Stesmans, M. Meuris, M. Heyns.- Ge deep submicron pFETs with etched TaN metal gate on a high-k dielectric, fabricated in a 200 mm silicon prototyping line.- Proceedings of the 34th European Solid State Device Research Conference (ESSDERC’2004), Leuven, Belgique, p. 189-192, 2004 Dehaese N., Bourdel S.- System modeling for 802.15.4 RF architectures.- Proceedings of the 16th International Conference on Microelectronics, ICM 2004, p. 518-521, December 2004 Denais M., Bravaix A., Huard V., Parthasarathy C., Ribes G., Perrier F., Revil N.- New hole trapping characterization during NBTI in 65nm node technology with distinct nitridation processing.Proceedings of the IEEE Integrated Reliability Workshop (IRW'04), p. 121-124, 2004 Denais M., Bravaix A., Huard V., Parthasarathy C., Ribes G., Perrier F., Rey-Tauriac Y., Revil N.“On-the-fly” characterization of NBTI in ultra-thin gate-oxide PMOSFET’s.- International Electron Device Meeting Technical Digest (IEDM'04), p. 109-112, 2004 Denais M., Huard V., Parthasarathy C., Ribes G., Perrier F., Revil N., Bravaix A.- New methodology of NBTI xharacterization in order to reduce recovery effect.- Proceedings of the European Solid State Device Research Conference (ESSDERC’04), Leuven, Belgique, p. 265-268, 2004 Denais M., Huard V., Parthasarathy C., Ribes G., Perrier F., Revil N., Bravaix A.- Oxide field dependence of interface trap generation during negative bias temperature instability in PMOS.Proceedings of the IEEE Integrated Reliability Workshop, p. 119-122, 2004 Drillet P., Pazsko F., Mangelinck D., Gas P., Clugnet G., Bergman C., Dulcy C. Vaughan G.- Real time synchrotron analysis of the initial stages of galvaannealing in Al containing Zn baths.Proceedings of the 6th International Conference on Zinc and Zinc Alloy Coated Steel Sheet, (Galvatech'04), Association for Iron § Steel Technology (ed.), 186 Thorn Hill Road, Warrendale, PA 15086, p. 667-, 2004 Egels M., Gaubert J., Pannier P., Bas G.- A 2.4 GHz RF CMOS receiver for low cost digital wireless communication for 802.15.4 standard.- Proceedings of the 16th International Conference on Microelectronics, ICM2004, Tunis, Tunisie, p. 299-302, 6-8 décembre 2004 Fabre A. , H. Barthélemy, B. Godara.- A low-power adjustable band-pass filter using only two controlled conveyors.- Proceedings of OPTIM 2004, Brasov, Roumania, vol. IV, p. 3-6, 20-21 May 2004 PRODUCTIONS 217 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Forli L., Portal J.M., Nee D., Borot B.- Test chip and infrastructure IP solutions to improve the back-end process during all phases from a new technology development to manufacturing.Proceedings of the Fifth IEEE International Conference on Devices, Circuits and Systems, Caracas, Venezuela, vol. 1, p. 77-82, 2004 Garros X., Leroux C., Reimbold G., Mitard J., Guillaumot B., Martin F., Autran J.L.- Reliability assessment of ultra-thin HfO2 oxides with TiN gate and polysilicon N+ gate.- IEEE Reliability Physics Symposium (IRPS) Proceedings, p. 176-180, 2004 Goguenheim D., Bravaix A., Gomri S., Moragues J.M., Monserie C., Legrand N., Boivin P.Improved methodology based on hot carriers injections to detect wafer charging damage in advanced CMOS technologies.- Proceedings of 24th International Conference on Microelectronics (MIEL'04), Nis, Serbie, p.649-652, 16-19 May 2004 Harrison S., Coronel P., Leverd F., Cerutti R., Palla R., Delille D., Borel S., Pantel R., Dutartre D., Morand Y., Samson M.P., Lenoble D., Talbot A., Boeuf F., Sanquer M., Jehl X., Bustos J., Brut H., Cros A., Munteanu D., Autran J.L., Skotnicki T.- High performance SON (Silicon-On-Nothing) double gate MOSFET with perfect electrostatic integrity for nanoscale regime.- Proceedings of the 2004 IEEE Silicon Nanoelectronic Workshop (SNW’2004), Honolulu, Hawaii, USA, p. 3-4, 2004 Harrison S., Cros A., Coronel P., Leverd F., Beverina A., Cerutti R., Wacquez R., Bustos J., Delille D., Tavel B., Barge D., Bienacel J., Samson MP., Martin F., Maitrejean S., Munteanu D., Autran J.L., Skotnicki T.- Poly-Gate REplacement Through Contact Hole (PRETCH): A new method for high-k/metal gate and multi-oxide implementation on chip.- Proceedings of the 50th IEEE International Electron Device Meeting (IEDM 2004), San Francisco, Etats-Unis, p. 291-294, 2004 Harrison S., Munteanu D., Autran J.L., Cros A., Cerutti R., Skotnicki T.- Electrical characterization and modeling of high-performance SON DG MOSFETs.- Proceedings of the 34th European Solid State Device Research Conference (ESSDERC’2004), Leuven, Belgique, p. 373-376, 2004 Houssa M., De Gendt S., Autran J.L., Groeseneken G., Heyns M.M.- Detrimental aspect of hydrogen on negative bias temperature instabilities in HfO2-based pMOSFETs.- Technical Digests of the 2004 Symposium on VLSI Technology, Honolulu, Hawaii, USA, p. 212-213, 2004 Labbe A., Perez A., Portal J.-M.- Efficient hardware implementation of a CRYPTO-MEMORY based on AES algorithm and SRAM architecture.- Proceedings of the 2004 International Symposium on Circuits and Systems (ISCAS '04), USA, vol. 2, p. 637-640, 2004 Le Quang N. , Goaer G., Coustier F., Gauthier M., Duffar T., Delannoy Y., Mangelinck N., Barvinschi F.- Thickness reduction of large size high efficiency screen-printed multicrystalline silicon solar cells – possibilities and limitations for industrial production.- Proceedings of the 19th European Photovoltaic Solar Energy Conference and Exhibition, p. -, 2004 Leroux Ch., Saitzek S., Villain S. Gavarri J.-R., Klimczak M. Kopia A. Kusinski J.- Nano-powders and thin film of Cu-doped CeO2 for gas sensors.- Proceedings of the 13th European Microscopy Congress (EMC 2004), Antwerp, Belgium, vol II, p 95-96, 2004 Lopez L., Nee D., Masson P., Bouchakour R.- A low cost test vehicle for embedded DRAM capacitor : Investigation and monitoring of the process.- Proceedings of the IEEE International Reliability Physics Symposium, USA, p. 498-501, 2004 Madigou V., Villain S., Nihoul G.- Structure of ferroelectric Aurivillius phases studied by transmission electron microscopy.- Proceedings of the XVIIth Physical Metallurgy and Materials Science Conference, Advanced Materials & Technologies, (AMT'2004), Lodz-Artorowek, Poland, Inzynieria Materialowa, NR3, 140, XXV Maj-Czerwiec, PL ISSN 0208-6247, Advanced Materials & technologies, p. 389-391, 2004 Molas G., Deleruyelle D., De Salvo B., Ghibaudo G., Gely M., Jacob S., Lafond D., Deleonibus S.Impact of few electron phenomena on floating-gate memory reliability.- Proceedings of the IEEE International Electron Devices Meeting (IEDM), USA, p. 877-880, 2004 Munteanu D., Autran J.L., Bescond M., Houssa M.- Impact of high-k gate dielectric on decananometer double-gate MOSFETs : gate-fringing field and parasitic charge effects.- 218 COMMUNICATIONS AVEC ACTES EDITES RAPPORT D’ACTIVITE 2002 - 2006 Proceedings of the 5th European Workshop on Ultimate Integration of Silicon (ULIS 2004), Leuven, Belgium, p. 39-42, 2004 Perniola L., Bernardini S., Iannaccone G., De Salvo B., Ghibaudo G., Masson P., Gerardi C.Electrostatic effect of localised charge in dual bit memory cells with discrete traps.- Proceedings of the 34th European Solid-State Device Research Conference (ESSDERC), Leuven, Belgium, p. 249252, 2004 Portal J.M., Saillet B., Nee D.- Flash memory cell diagnosis : high level model.- Proceedings of the Non-Volatile Memory Technology Symposium, USA, p. 100-104, 2004 Ragad H., Bouchakour R., Lalande F., Portal J.M., Mirabel J.M.- A pseudo 2D analysis of the velocity saturation region for flash cell modelling.- Proceedings of the Non-Volatile Memory Technology Symposium, USA, p. 92-99, 2004 Ranica R., Villaret A., Fenouillet-Beranger C., Malinge P., Mazoyer P., Masson P., Delille D., Charbuillet C., Candelier P., Skotnicki T.- A capacitor-less DRAM cell on 75nm gate length, 16nm thin fully depleted SOI device for high density embedded memories.- Proceedings of the IEEE International Electron Devices Meeting (IEDM), USA, p. 277-280, 2004 Ranica R., Villaret A., Malinge P., Mazoyer P., Lenoble D., Candelier P., Jacquet F., Masson P., Bouchakour R., Fournel R., Schoellkopf J.P., Skotnicki T.- A one transistor cell on bulk substrate (1T-Bulk) for low-cost and high density eDRAM.- Proceedings of the VLSI Technology Symposium, Hawai, p. 128-129, 2004 Rideau D., Dray A., Gilibert F., Agut F., Giguerre L., Gouget G., Minondo M., Juge A.Characterization & modeling of low electric field gate-induced-drain-leakage [MOSFET].Proceedings of the International Conference on Microelectronic Test Structures (ICMTS '04), Japan, p. 149-154, 2004 Saitzek S., Guirleo G., Guinneton F., Sauques L., Villain S., Aguir K., Leroux C., Gavarri J-R.Structural and optical properties of thermochromic bilayers Ceo2-Vo2.- Proceedings of the XVIIth Physical Metallurgy and Materials Science Conference, Advanced Materials & Technologies, (AMT'2004), Lodz-Artorowek, Poland, Inzynieria Materialowa, NR3, 140, XXV Maj-Czerwiec, PL ISSN 0208-6247, Advanced Materials & technologies, 2004 Saitzek S., Villain S., Fremy M-A., Leroux C., Nolibe G., Gavarri J-R.- Nanostructured pure and doped ceria catalysts for gas sensor applications.- Proceedings of the XVIIth Physical Metallurgy and Materials Science Conference, Advanced Materials & Technologies, (AMT'2004), LodzArtorowek, Poland, Inzynieria Materialowa, NR3, 140, XXV Maj-Czerwiec, PL ISSN 0208-6247, Advanced Materials & technologies, p. 231-233, 2004 Scheybal A., Bertschinger R., Nolting F., Jung T.A., Ramsvik T., Putero M.- Induced magnetic ordering in a molecular monolayer.- Laboratory for micro- and nanotechnology (LMN) scientific annual report, Paul Scherrer Institute, Villigen, Switzerland, 2004 Spitale E., Corso D., Crupi I., Nicotra G., Lombardo S., Deleruyelle D., Gely M., Buffe, N., De Salvo B., Gerardi C.- Effect of high-k materials in the control dielectric stack of nanocrystal memories.Proceedings of the 34th European Solid-State Device Research Conference (ESSDERC), Leuven, Belgium, p. 161-164, 2004 Sylla L., Hodroj A., Mangelinck-Noël N., Duffar T.- Measurement of silicon undercooling for better understanding of solidification phenomena during the elaboration of polycrystalline silicon.Proceedings of the 19th European Photovoltaic Solar Energy Conference and Exhibition, p. -, 2004 Xerri B., Borloz B.- Detection by SNR maximization : application to the blind source separation problem.- 5th International Conference on Independent Component Analysis and Blind Signal Separation, p. 602-610, ICA (IEEE) Granada (Espagne), septembre 2004 Zaid L., Cheynet De Beaupre V., Rahajandraibe W., Sangiovanni A.- A fully differential 5V buffer for RF mixer output with current and linearity control.- Proceedings of the 5th IEEE International Conference on Devices, Circuits and Systems, Caracas, Venezuela, vol. 1, p. 46–50, November 2004 PRODUCTIONS 219 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Zhou B.H., Nguyen Thi H., Reinhart G., Dabo Y., Billia Liu Q., Lyubimova T.P., Roux B.- Directional solidification microstructures under natural and controlled convection conditions.- Proceedings of International Conference on Advanced Problems in Thermal Convection, Perm, Russie, p. 357-364, 2004 Baldacci A., Rivero C., Gergaud P., Grégoire M., Sicardy O., Bostrom O., Boivin P., Micha J.S., Thomas O.- Stresses in copper blanket films and damascene lines: Measurements and finite element analysis.- Proceedings of the 34th European Solid State Device Research Conference, p. 105, 2004 Barakel D., Martinuzzi S.- Donor behaviour of implanted hydrogen ions in silicon wafers.- Mat. Res. Soc. Symp. Proc. 813,49-54 (2004) Idrissi H., Lancin M., Douin J., Regula G., Pichaud B., El Bouayadi R., Roussel J. M.- Study by Weak Beam and HRTEM of double stacking faults created by external mechanical stress in 4HSiC.- Mat. Res. Soc. Symp. Proc. 815,115-20 (2004) Labat S. , Bocquet F., Bigault T., Roussel L., Mikaelian G. , Alfonso C., Charai A., Thomas O.- The early stages of stress development during epitaxial growth of Ag/Cu multilayers.- Mat. Res. Soc. Symp. Proc. 791, 163-8 (2004) Martinuzzi S., Palais O.- Hydrogen passivation of bulk defects and surface in silicon.- Mat. Res. Soc. Symp. Proc. 813,87-92 (2004) Texier M., Bonneville J., Proult A., Rabier J., Thilly L.- Low temperature deformation mechanisms of icosahedral AlPdMn quasicrystals.- Mat. Res. Soc. Symp. Proc., 805, LL.5.3.1 (2004) Elalamy Z., Lo Monaco M., Simon J.J., Escoubas L., Enoch S., Flory F., Giovannini H., Germanium Anisotropic Etching for Infrared Antireflective Gratings.- Proceedings 4th Workshop on Physical Chemistry of Wet Etching of Silicon, PCWES 2004, May 26-28, 2004 Mazingue T., Escoubas L., Flory F., Forestier C., Jelinek M., Aguir K., Optical Characterization of thin films for gas sensors.- Proceedings OSA Conf. Optical Society of America, “Optical Interference Coatings” June 27 – July 2 (2004) 2005 Barthélemy H., Fillaud M.- Simple CMOS 1/Lw inductive transconductance amplifier.- Proceedings of the 2005 European Conference on Circuit Theory and Design (ECCTD Cork 2005), Cork, Ireland, vol. 3, p. 329-332, 2005 Bécu S., Crémer S., Noblanc O., Autran J.L., Delpech P.- Characterization and modeling of Al2O3 MIM capacitors: temperature and electrical field effects.- Proceedings of the 35th European Solid State Device Research Conference (ESSDERC’2005), Grenoble, 12-16 septembre 2005, Editions Frontières, p. 265-268, 2005 Berbezier I., M. Descoins, B. Ismail, H. Maaref, A. Ronda.- Structural and optical properties of Ge QDs deposited by MBE.- JAP FORUM FIB : Fabrication, Organisation and Use of Memories fabricated by FIBI, Berbezier, A. Karmous, A. Ronda, T. Stoica, L. Vescan, G. Remco, A. Olzierski, E. Tsoi, A. Nassiopoulou (eds), I.O.P., à paraitre Bergeret E., Margalef A., Pannier P., Gaubert J.- Contraintes sur la conception d’un tag RFID UHF.Actes du 6ème Colloque sur le Traitement Analogique de l'Information, du Signal et ses Applications (TAISA 2005), Marseille, France, p. 119-122, 2005 Bergeret E., Pannier Ph., Gaubert J.- Optimization of UHF voltage multiplier circuit for RFID application.- Proceedings of the 17th International Conference on Microelectronics (ICM2005), Islamabad, Pakistan, p. 172-177, 2005 Bescond M., Cavassilas N., Kalna K., Nehari K., Raymond L., Autran J.L., Lannoo M., and Asenov A.- Ballistic transport in Si, Ge, and GaAs nanowire MOSFETs.- 51st IEEE International Electron Device Meeting (IEDM 2005), Washington, Etats-Unis, IEDM Techical Digest, p. 533-536, 2005 220 COMMUNICATIONS AVEC ACTES EDITES RAPPORT D’ACTIVITE 2002 - 2006 Bescond M., Cavassilas N., Nehari K., Autran J.L., Lannoo M., Asenov A.- Impact of point defect location in nanowire silicon MOFSETs.- Proceedings of the 35th European Solid State Device Research Conference (ESSDERC’2005), Grenoble, 12-16 septembre 2005, Editions Frontières, p. 221-224, 2005 Bescond M., Cavassilas N., Raymond L., Asenov A.- Simulation study of arbitrarily oriented Si, Ge and GaAs ballistic Nanowire MOSFETs.- Proceedings of the 14th Intenational Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS 14), Chicago, USA, 24-30 July 2005, IOP Conference Series, 2005 Bouquet V., Canet P., Lalande F., Devin J., Leconte B.- Fowler-Nordheim erasing time prediction in Flash memory.- Proceedings of the 6th Annual Non-Volatile Memory Technology Symposium (NVMTS’2005), Dallas, Texas, November 7-10, 2005, CD-ROM, 2005 Bouquet V., Canet P., Lalande F., Devin J., Leconte B., Mariéma N.- Variation of flash memory threshold voltage correlated with applied voltage slope in Fowler Nordheim erase mode.Proceedings of Ph.D. Research In Micro-Electronics & Electronics (PRIME’2005), Lausanne, Switzerland, July 25-28 2005, vol. 1, p. 86-88, 2005 Bourdel S., Gaubert J., Pannier Ph., Barthélémy H., Battista M., Bachelet Y., Bas G.- Intégration d’un récepteur UWB en technologie CMOS pour les modulations PPM.- Actes du 6ème Colloque sur le Traitement Analogique de l'Information, du Signal et ses Applications (TAISA 2005), Marseille, France, p. 95-98, 2005 Bravaix A., Goguenheim D., Huard V., Denais M., Parthasarathy C., Perrier F., Revil N., Vincent E.Impacts of the recovery phenomena on the worst-case of damage in DC/AC stressed ultra-thin NO gate-oxide MOSFETs.- Proceedings of the 16th European Symposium on Reliability of Electron Devices, Failure physics and analysis, (ESREF’05), Bordeaux, France, 10th-14th October 2005, p. 1370-1375, 2005 Buckley J., De Salvo B., Deleruyelle D., Gely M., Damlencourt J.F., Holliger P., Martin F., Deleonibus S.- Study of fixed charges in atomic layer deposited Al2O3 dielectrics.- Proceedings of the 14th biennial Conference on Insulating Films on Semiconductors (INFOS 2005), Leuven, Belgium, June 2005, p. -, 2005 Casadei B., Dufaza C., Martin L.- Modèles de simulation de pixels actifs à photogrille.- Colloque READ'05 : Rétine Electronique, ASIC-FPGA et DSP pour la Vision et le Traitement d'Images en Temps réel, Evry, France, p. 107-112, 1-3 juin 2005 Casadei B., Dufaza C., Martin L.- Simulation model for active pixel sensor.- Proceedings of the 9th WSEAS International CSCC Multiconference, Vouliagmeni-Athens, Greece, 11-16th July 2005, ISBN 960-8457-29-7, p. 497-813, 2005 Castellani-Coulié K., Munteanu D., Autran J.L., Ferlet-Cavrois V., Paillet P., Masson P.- Device simulation study of SEU in SRAMs based on double-gate MOSFETs.- Proceedings of the 1st International Conference on Memory Technology and Design (ICMTD 2005), 21-24 mai 2005, Giens, France, p. 93-96, 2005 Chaillan F., Fraschini C., Amate M., Courmontagne P. Multiresolution analysis of SAS images.Electronic Proceedings of IEEE OCEANS'05, Brest, France, vol. 2, p. 1127-1132, 2005 Chaillan F., Fraschini C.,Courmontagne P.- Stochastic matched filtering method applied to SAS imagery.- Proceedings of IEEE OCEANS'05, Brest, France, vol. 1, p. 233-238, 2005 Cheynet de Beaupré V., Rahajandraibe W., Zaid L.- Methode de compensation en température d’un oscillateur en anneau fonctionnant à 2,45GHz.- Actes du 6ème Colloque sur le Traitement Analogique de l'Information, du Signal et ses Applications (TAISA 2005), Marseille, France, p. 103106, 2005 Cheynet de Beaupré V., Rahajandraibe W., Zaid L., Bas G. CMOS 2.45GHz ring oscillator with temperature compensation.- Proceedings of the 12th IEEE International Conference on Electronics, PRODUCTIONS 221 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Circuits ans Systems (ICECS’05), Gammarth, Tunisia, vol. 1, isbn 9973-61-100-4, p. 405-408, 2005 Collard Bovy A., Courmontagne P.- An all digital signal receiver for transmissions/reception of Radio-Frequencies.- Proceedings of IEEE-PRIME 2005, Lausanne, Suisse, vol. 2, p. 259-262, juillet 2005 Dehaese N., Bourdel S., Bachelet Y., Bas G.- FSK zero-crossing demodulator for 802.15.4 low-cost receivers.- Proceedings of the 12th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Tunis, Tunisie, vol. 2, p. 446-449, 2005 Deleruyelle D., Molas G., De Salvo B., Gely M., Lafond D.- Single-electron phenomena in ultrascaled floating-gate devices and their impact on electrical characteristics.- Proceedings of ICMTD’05, 1st International Conference on Memory Technology and Design, Giens, France, mai 2005, p. 25-27, 2005 Denais M., Huard V., Parthasarathy C., Ribes G., Perrier F., Roy D., Bravaix A.- New perspectives on NBTI in advanced technologies : modelling and characterization.- Proceedings of the 35th European Solid State Device Research Conference (ESSDERC’2005), Grenoble, 12-16 septembre 2005, Editions Frontières, p. 265-268, 2005 Di Gilio T., Bravaix A.- Lifetime prediction of ultra-thin gate-oxide PMOSFETs submitted to hotcarrier injections.- Proceedings of the IEEE Integrated Reliability Workshop (IRW'05), Stanford Sierra Camp, Fallen Leaf Lake, USA, 17th-20th October 2005, p. 54-57, 2005 Egels M., Gaubert J., Pannier P.- Guidelines for standard CMOS travelling wave amplifier design.Proceedings of the 17th International Conference on Microelectronics (ICM2005), Islamabad, Pakistan, p. 19-23, 2005 Ehouarne L., Mangelinck D., Gas P., Putero M., Mercier J.P., Coppard R.- Limitations du cobalt dans le procédé salicide et comparaison avec le nickel.- Proceedings des 8èmes Journées Nationales du Réseau Doctoral de Microélectronique, JNRDM 2005, Paris, p. 491, 2005 Fournigault M., Trémeau A., Robert-Inacio F.- Characteristic centre points for quasi-convex shapes.- Proceedings of the 9th European Congress on Stereology and Image Analysis, Zakopane, Poland, vol. 2, p. 299-304, 2005 Fournigault M., Trémeau A., Robert-Inacio F.- Generalization of the circumscribed disk algorithm extension.- Proceedings of the IS&T International Conference on Imaging : Technology and Applications for the 21st century, Beijing, China, p. 332-333, 2005 Fraschini C., Chaillan F., Courmontagne P.- On the fluctuations of the ambiguity function in RADAR and SONAR.- Proceedings of IEEE OCEANS'05, Brest, France, vol. 2, p. 1181-1186, 2005 Fraschini C., Chaillan F., Courmontagne P.- An improvement of the discriminating capability of the active SONAR by optimization of a criterion based on the Cramer-Rao lower bound.- Proceedings of IEEE OCEANS'05, Brest, France, vol. 2, p. 804-809, 2005 Gaubert J., Bourdel S., Pannier Ph., Barthélemy H., Battista M., Egels M.- Méthodes de conception pour amplificateurs faible bruit pour systèmes intégrés UWB 3.1-10.6 GHz.- Actes du 6ème Colloque sur le Traitement Analogique de l'Information, du Signal et ses Applications (TAISA 2005), Marseille, France, p. 17-20, 2005 Gilibert F., Rideau D., Payet F., Boeuf F., Batail E., Minondo M., Bouchakour R., Skotnicki T., Jaouen H.- Strained Si/SiGe MOSFET capacitance modeling based on band structure analysis.Proceedings of the 35th European Solid State Device Research Conference (ESSDERC’2005), Grenoble, 12-16 septembre 2005, p. 281–284, 2005 Goux L., Lisoni J.G., Schwitters M., Paraschiv V., Maes D., Haspeslagh L., Wouters D.J., Menou N., Turquat Ch., Madigou V., Muller Ch., Zambrano R.- Composition control and ferroelectric properties of sidewalls in integrated 3-Dimensional Sr0.8Bi2.2Ta2O9-based ferroelectric capacitors.- Proceedings of ICMTD’05, 1st International Conference on Memory Technology and Design, Giens, France, mai 2005, p. 163-165, 2005 222 COMMUNICATIONS AVEC ACTES EDITES RAPPORT D’ACTIVITE 2002 - 2006 Guérin C., Huard V., Bravaix A., Denais M., Roux J.M., Perrier F., Baks W.- Combined effects of NBTI and channel hot-carrier effects in pMOSFETs.- Proceedings of the IEEE Integrated Reliability Workshop (IRW'05), Stanford Sierra Camp, Fallen Leaf Lake, USA, 17th-20th October 2005, p. 1015, 2005 Guigues F., Rudolff F., Kussener E.- Static analog design methodology applied to self cascode PTAT voltage reference.- Proceedings of FTFC'2005, Faible Tension, Faible Consommation, 18-20 mai 2005, Paris, France, p. 123- 126, 2005 Hoummada K., Mangelinck D., Bergman C., Gas P., Lee P. S., Osipowicz T.- Redistribution du platine lors de la formation des siliciures de nickel par réaction d’un film de Ni (5%Pt) avec Si (100).- Proceedings des 8èmes Journées Nationales du Réseau Doctoral de Microélectronique, JNRDM 2005, Paris, p. 197, 2005 Lexcellent C., Roinet P., Bernardini J., Beke D.L., Olier P.- High temperature creep measurements in equiatomic Ni-Ti shape memory alloy.- Proceedings of the 1st International Conference « Diffusion in Solids and Liquids », Aviero, Portugal, p. 25, 2005 Lin N., Dmitriev A., Spillmann H., Weckesser J., Abel M., Messina P., Barth J.V., Kern K.Observing and steering the formation of coordination compounds on surfaces at the singlemolecule level.- Proceedings of the Conference “Clusters and Nano-Assemblies - Physical and Biological Systems”, Richmond, Virginia, USA 10-13 November 2003, World Scientific Publishers, P. Jena, S. N. Khanna & B. K. Rao Eds, p.301-307, 2005 Lopez L., Masson P., Nee D., Bouchakour R.- A model to explain the C-V curves of DRAM capacitors with silicon electrodes and trapping dielectrics.- Proceedings of ICMTD’05, 1st International Conference on Memory Technology and Design, Giens, France, mai 2005, p. 85-88, 2005 Lopez L., Portal J.M., Née D.- A new embedded measurement structure for eDRAM capacitor.Proceedings of the IEEE International Conference on Design Automation and Test in Europe (DATE'05), München, Germany, vol. 1, p. 462-463, 2005 Meillère S., Barthélemy H., Martin M.- Démodulateur ASK pour un lecteur RFID à 13.56MHz.Actes du 6ème Colloque sur le Traitement Analogique de l'Information, du Signal et ses Applications (TAISA 2005), Marseille, France, p. 45-48, 2005 Munteanu D., Autran J.L., Loussier X., Harrison S., Cerutti R., Skotnicki T.- Quantum shortchannel compact modeling of drain-current in double-gate MOSFET.- Proceedings of the 35th European Solid State Device Research Conference (ESSDERC’2005), Grenoble, 12-16 septembre 2005, Editions Frontières, p. 137-140, 2005 Nehari K., Autran J.L., Munteanu D., Bescond M.- A compact model for the threshold voltage of silicon nanowire MOS transistors including 2D-quantum confinement effects.- Technical Proceedings of the 8th International Conference on Modeling and Simulation of Microsystems (MSM'2005), 8-12 mai 2005, Anaheim, Californie, USA, Computational Publications, p. 175-178, 2005 Nehari K., Cavassilas N., Autran J.L., Bescond M., Munteanu D., Lannoo M.- Influence of bandstructure on eectron ballistic transport in silicon nanowire MOSFET’s : an atomistic study.Proceedings of the 35th European Solid State Device Research Conference (ESSDERC’2005), Grenoble, 12-16 septembre 2005, Editions Frontières, p. 229-232, 2005 Nehari K., Munteanu D., Autran J.L., Harrison S., Tintori O., Skotnicki T.- Compact modeling of threshold voltage in double-gate MOSFET including quantum mechanical and short channel effects.- Technical Proceedings of the 8th International Conference on Modeling and Simulation of Microsystems (MSM'2005), 8-12 mai 2005, Anaheim, Californie, USA, Computational Publications, p. 179-182, 2005 Nemouchi F., Mangelinck D., Bergman C., Gas P., Clugnet G.- Formation linéaire-parabolique de Ni2Si caractérisée par diffraction de rayons X et calorimétrie différentielle à balayage.- Proceedings PRODUCTIONS 223 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE des 8èmes Journées Nationales du Réseau Doctoral de Microélectronique, JNRDM 2005, Paris, p. 488, 2005 Parthasarathy CR, Denais M., Huard V., Ribes G., Vincent E., Bravaix A.- Characterization and modeling NBTI for design-in-reliability.- Proceedings of the IEEE Integrated Reliability Workshop (IRW'05), Stanford Sierra Camp, Fallen Leaf Lake, USA, 17th-20th October 2005, p. 158-162, 2005 Pfeiffer O., Gnecco E., Zimmerli L., Maier S., Meyer E., Nony L., Bennewitz R., Diederich F., Fang H., Bonifazi D.- Force microscopy on insulators: Imaging of organic molecules.- Journal of Physics : Conference Series, Conference on Atoms and Molecules Near Surfaces, Weiner J., Feenstra L., Schmiedmayer J. Eds, vol. 19, p. 166-174, 2005 Portavoce A., Berbezier I., Ronda A., Gas P., Christensen J.S., Kuznetsov A.Yu., Svensson B.G.Diffusion in solids – Past, present and future.- Proceedings of the 5th International Conference on Diffusion in Solids - Past, Present and Future, Moscow, Russia, 22-25 May 2005, p. 135-142, 2005 Ranica R., Villaret A., Malinge P., Candelier P., Masson P., Bouchakour R., Mazoyer P., Skotnicki T.- 1T-Bulk DRAM cell with improved performances: the way to scaling.- Proceedings of ICMTD’05, 1st International Conference on Memory Technology and Design, Giens, France, mai 2005, p. 5952, 2005 Ranica R., Villaret A., Malinge P., Gasiot G., Mazoyer P., Roche P., Candelier P., Jacquet F., Masson P., Bouchakour R., Fournel R., Schoellkopf J.P., Skotnicki T.- Scaled 1T-Bulk devices built with CMOS 90 nm technology for low-cost eDRAM applications.- Proceedings of VLSI Technology Symposium, Kyoto, Japan, June 14-16 2005, p. 38-39, 2005 Régnier A., Portal J.M., Bouchakour R., Renovell M.- Modeling halo implant failures in MOS submicron technology.- Proceedings of the IEEE Latin American Test Workshop, (LATW’05), Salvador da Bahia, Brazil, p. 29-33, 2005 Rey-Tauriac Y., Badoc J., Reynard B., Bianchi R.A., Lachenal D., Bravaix A.- Hot-carrier reliability of 20V MOS transistors in 0.13 µm CMOS technology.- Proceedings of the 16th European Symposium on Reliability of Electron Devices, Failure physics and analysis, (ESREF’05), Bordeaux, France, 10th-14th October 2005, p. 1349-1354, 2005 Robert-Inacio F.- Distance maps for shape classification.- Proceedings of the IS&T International Conference on Imaging : Technology and Applications for the 21st century, Beijing, China, p. 300301, 2005 Robert-Inacio F., Mézerette S., Charollais F., Cellier F.- Characterization of HTR nuclear fuel particles by distance mapping.- Proceedings of the 9th European Congress on Stereology and Image Analysis, Zakopane, Poland, vol 1, p. 93-97, 2005 Saillet B., Portal J.M., Née D.- Flash memory cell : parametric test data reconstruction for process monitoring.- Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 3-5 October 2005, Monterey, CA, USA, p.131-139, 2005 Saillet B., Portal J.M., Née D.- Flash memory cell : an automated diagnosis tool for geometric failures.- Proceedings of ICMTD’05, 1st International Conference on Memory Technology and Design, Giens, France, mai 2005, p. 271-274, 2005 Tintori O., Munteanu D., Autran J.L.- Compact modeling of symmetric Double-Gate MOSFET for circuit simulation.- Proceedings of the 6th European workshop on Ultimate Integration of Silicon (ULIS 2005), 7-8 avril 2005, Bologne, Italie, p. -, 2005 Tramoni A., Tetelin C., Enguent J-P.- Method to simulate contactless system based on Sparameters measurements.- Proceedings of the 35th European Microwave Week (EuMW2005), Paris, France, p. 375-378, 2005 Tramoni A., Tetelin C., Malherbe A., Conraux J.- Best compromise between tele supply and modulated rate of backscattered signal in RFID systems.- Proceedings of the 2005 International 224 COMMUNICATIONS AVEC ACTES EDITES RAPPORT D’ACTIVITE 2002 - 2006 Symposium on Intelligent Signal Processing and Communication Systems (ISPACS’05), Hong Kong, China, p. 621-625, 2005 Deschamps A., Nicolas M., Perrard F., Lae L.- Understanding non isothermal precipitation in complex systems : interplay between experiments and modelling.- Proceedings of the International Conference on Solid-Solid Phase Transformations in Inorganic Materials, Phoenix, may 2005 Gregoire M., Kordic S., Gergaud P., Thomas O., Ignat M.- Thermomechanical behaviour and properties of PVD and ECD Cu thin films .- Mat. Res. Soc. Symp. Proc., 875, O.4.5.1 (2005) Loubens A., Rivero C., Boivin P., Charlet B., Fortunier R., Thomas O.- Investigation of local stress fields: finite elements modelling and high resolution X-ray diffraction.- Mat. Res. Symp. Proc. 840, O.8.3.1 (2005) Ntsoenzok E., Ashok S., Regula G., Pichaud B.- Helium implant depth dependence on thermal growth of nanocavities in silicon.- IEEE Proc., 04EX862, 3, 2382-6 (2005) Ntsoenzok E., El Bouayadi R., Regula G., Pichaud B., Ashok S.- Thermal growth of He-cavities in Si studied by cascade implantation.- Mat. Res. Symp. Proc. 864, 461-6 (2005) Regula G., El Bouayadi R., Lancin M.,. Ntsoenzok E, Pichaud B., Ruault M-O.- Roles of impurities and implantation depth on He+ cavity shape in silicon.- Mat. Res. Symp. Proc. 864, 345-50 (2005) Thomas O., Loubens A., Gergaud P., Labat S.- X-ray diffraction: a wonderful tool to probe lattice strains in materials with small dimensions.- Mat. Res. Symp. Proc. 840, Q.3.2.1 (2005) Vervisch W., Ventura L., Pichaud B., Ducreux G., Lhorte A.- Pts-Oi complex formation in platinum diffused silicon.- Mat. Res. Symp. Proc. 864, 59-64 (2005) PRODUCTIONS 225 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Communications orales ou posters 2002 Ananou B., Ksari Y., Regnier S., Marfaing J., Stepanov A., Touchard A., Rochette Y.- Temperature dependent study of diluted marine tertiary tephra by X-band ESR.- European Geophysical Society Conference, Nice, France, 2002 Barthélemy H., Ferri G., Guerrini N.- A 1.5 V CCII-based tunable oscillator for portable industrial applications.- ISIE2002, IEEE International Symposium on Industrial Electronics, L'Aquila, Italy, 811 July 2002 Bedoya C., Menou N., Guilloux-Viry M., Gagou Y., Chevallier V., Muller C., Mallet A., Chesneau J.Elaboration et caractérisation de cibles de SBT destinées au dépôt de couches minces par ablation laser.- GFCC2002, Colloque Annuel du Groupe Français de Croissance Cristalline, Toulon, France, 13-15 mars 2002 Bendahan M., Lauque P., Seguin J.-L. , Jacolin C., Aguir K. , Knauth P.- Development of an ammonia gas sensor.- EUROSENSORS XVI, Prague, République Tchèque, 15-18 septembre 2002 Benielli D., Bergeon N., Dabo Y., Billia B., Jamgotchian H., Nguyen Thi H., Voge P.- Formation de l'état initial en solidification dirigée.- Matériaux 2002 : De la conception à la mise en oeuvre, Tours, 21-25 octobre 2002 Benielli D., N. Bergeon, B. Billia, Y. Dabo, J.M. Debierre, H. Jamgotchian, H. Nguyen Thi.Formation de l’état initial en solidification dirigée : analyse des étapes de fusion et de stabilisation.- GFCC2002, Colloque Annuel du Groupe Français de Croissance Cristalline, Toulon, France, 13-15 mars 2002 Benielli D., N. Bergeon, B. Billia, Y. Dabo, R. Guérin, H. Jamgotchian, H. Nguyen Thi.Morphologies localisées en solidification.- GFCC2002, Colloque Annuel du Groupe Français de Croissance Cristalline, Toulon, France, 13-15 mars 2002 Bescond M., Lannoo M., Goguenheim D., Autran J.L.- Towards a full microscopic approach to the modeling of nanotransistors.- 4th Symposium on SiO2 and Advanced Dielectrics, Trento, Italy, 1618 September 2002 Bravaix A., Gauthé L., Goguenheim D., Revil N., Rubaldo L., Vincent E.- Efficiency of interface trap generation under hole injections in 2.1nm thick gate-oxide P-MOSFET's.- 4th Symposium on SiO2 and Advanced Dielectrics, Trento, Italy, 16-18 September 2002 Bravaix A., Goguenheim D., Revil N., Vincent E.- Comparison of low leakage and high speed deep submicron PMOSFET's submitted to hole injections.- IRW'02, IEEE Integrated Reliability Workshop, Stanford Sierra Camp, Lake Tahoe, USA, 21-24 October 2002 Bravaix A., Trapes C., Goguenheim D., Revil N., Vincent E.- Carrier injection efficiency for the reliability study of 3.5-1.2nm thick gate-oxide CMOS technologies.- WoDim'02, 12th Workshop on Dielectrics in Microelectronics, Grenoble, France, 18-20 novembre 2002 Canet P.- Use example of IC-CAP : characterization of vertical MOS transistor and depleted MOS transistor.- European IC-CAP Device Modeling Workshop, Berlin, Germany, 7-8 March 2002 Canet P., Bouchakour R., Lalande F., Mirabel J.M.- EEPROM cell design : paradoxical choice of the coupling ratio.- 4th Symposium on SiO2 and Advanced Electrics, Trento, Italy, 16-18 September 2002 Canet P., Bouchakour R., Razafindramora J., Lalande F., Mirabel J.M.- Very fast EEPROM erasing study.- ESSCIRC'2002, 28th European Solid-State Circuits Conference, Florence, Italy, 24-26 September 2002 226 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Cavassilas N., Aniel F., Fishman G.- Energy-band structure of strained indirect gap semiconductor : A k.p method.- ICCN 2002, 5th International Conference on Computational Nanoscience and Nanotechnology, Puerto Rico, USA, 22-25 April 2002 Cavassilas N., Autran J.L.- Capacitance-voltage characteristics of metal-oxide-strained semiconductor Si/SiGe heterostructures.- MSM'2002, 5th International Conference on Modeling and Simulation of Microsystems, Puerto Rico, USA, 22-25 April 2002 Charrier A., A. Coati, T.Argunova, F. Thibaudau, Y. Garreau, R. Pinchaux, I. Forbeaux, J.M. Debever, M. Sauvage-Simkin, and J.M. Themlin.- Growth mechanism of heteroepitaxial graphite thin films obtained by solid-state decomposition of silicon carbide : a structutal study.- ICSFS-11, 11th International Conference on Solid Films and Surfaces, Marseille, juin 2002 Dabo Y., Nguyen Thi H.- Solidification dirigée d’alliages binaires.- Physique 13 en Clips, Société Française de Physique, Marseille, France, janvier 2002 Delauche F., Affour B., Dufaza C.- Parametric yield optimization of MEMS.- DTIP'2002, Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS, Cannes, France, 5-8 May 2002 Deleruyelle D., Fraboulet D., DeSalvo B., Buffet N., Martin F., Mariolle D., Baron T., Autran J.L., Guillaumot B.- Electrical characterization of memory cell structures based on multiple tunnel junctions with embedded Si nanocrystals.- IEEE 2002 Silicon Nanoelectronics Workshop, Honolulu, USA, 9-10 June 2002 Drevet B. , Camel D., Dabo Y., Nguyen Thi H., Billia B.- Ségrégations induites par la fusion et la stabilisation thermique précédant la solidification dirigée : comparaison des systèmes Al-Li et AlNi.- Matériaux 2002 : De la conception à la mise en oeuvre, Tours, 21-25 octobre 2002 Faïk H., Putero-Vuaroqueaux M., Vidal B., Torregrossa F. and Roux L.- Lithographie Extrême Ultra Violet : réalisation et caractérisation de miroirs multicouches EUV ; étude des applications optiques de l’implantation ionique dans les multicouches.- Cinquième édition des Journées Nationales du Réseau Doctoral de Microélectronique (JNRDM), Grenoble, France, 23-25 avril 2002 Goguenheim D., Trapes C., Bravaix A.- Comparison of degradation modes in 1.2-2.1 nm thick oxides submitted to uniform and hot carrier injections in NMOSFETS.- 4th Symposium on SiO2 and Advanced Dielectrics, Trento, Italy, 16-18 September 2002 Guérin R., Celestini F., Debierre J.M.- Etude numérique des formes de croissance.- GFCC2002, Colloque Annuel du Groupe Français de Croissance Cristalline, Toulon, France, 13-15 mars 2002 Guinneton F., Sauques L., Cros F., Valmalette J.C., Gavarri J.R.- Etude des propriétés thermochromes de composites à base de pigments inorganiques.- Journées Franco-Italiennes de Chimie, Régions Provence Alpes Côte d'Azur Ligurie - Piémont Val d'Aoste, Antibes, France, 18-19 avril 2002 Guinneton F., Sauques L., Cros F., Valmalette J.C., Gavarri J.R.- Matériaux thermochromes nanotexturés à base de dioxyde de vanadium : application à la discrétion infrarouge,.- Matériaux 2002, De la conception à la mise en œuvre, Tours, France, 21-25 octobre 2002 Hammi H., M'nif A., Musso J., Rokbani R.- Diagramme de phases assisté par ordinateur : application à l'évaporation isotherme et isobare de saumures naturelles.- XXVIIIèmes JEEP, Journées d'Etudes des Equilibres entre Phases, Agadir, Maroc, mars 2002 Houssa M., Autran J.L., Heyns M.M., Stesmans A.- Model for defect generation at the (100)Si/SiO2 interface during electron injection in MOS structures.- ICSFS-11, 11th International Conference on Solid Films and Surfaces, Marseille, France, 8-11 July 2002 Ionescu A.M., Munteanu D.- New compact model for generation drain current transients in weak and moderate inversion regimes of submicron floating-body PD SOI MOSFETs.- MSM'2002, 5th International Conference on Modeling and Simulation of Microsystems, Puerto Rico, USA, 22-25 April 2002 PRODUCTIONS 227 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Isa M., Valmalette J.C., Muller C., Gavarri J.R.- Gold cluster growth during selective ZrAu oxidation at room temperature.- GFCC2002, Colloque Annuel du Groupe Français de Croissance Cristalline, Toulon, France, 13-15 mars 2002 Isa M., Valmalette J.C., Muller C., Leroux Ch., Lomello M., Galez P., Gavarri J.R.- Nanostructuring oxidation of ZrAu ingots at air and room temperature: a new way of synthesis of powders for catalytic gas sensors.- Matériaux 2002, De la conception à la mise en œuvre, Tours, France, 21-25 octobre 2002 Kussener E., Barthélemy H.- Versatile macromodel for the power supply of submicronic CMOS microprocessors based on voltage down DC-DC converter.- ISCAS, IEEE International Symposium on Circuits and Systems, Scottsdale, USA, 26-29 May 2002 Labbé A., Pérez A.- AES implementation on FPGA : time – flexibility tradeoff.- FPL’2002, 12th International Conference on Field-Programmable Logic and Applications, Montpellier, France, 2002 Laffont R., Razafindramora J., Canet P., Bouchakour R., Mirabel J.M.- Decreasing EEPROM programming bias with negative voltage, reliability impact.- IEEE International Workshop on Memory Technology, Design and Testing, Isle of Bendor, France, 10-12 July 2002 Liebault J., Siesse-Moya D., Moya F., Zarbout K., Damamme G., Moya G.- Determination of charge trapping ability in doped alumina.- CEIDP, IEEE Conference on Electrical Insulation and Dielectric Phenomena, Cancun, Mexico, 20-24 October 2002 Lyubimov D. , T. Lyubimova, A. Alabushev, K. Ponoomareva, H. Nguyen Thi, B. Billia, B. Roux.The influence of axial vibrations with finite frequency on directional soldification.- Journées conjointes Topical Team « Vibrational Phenomena under microgravity" et GDR "Phénomènes de transport et transitions de phase en micropesanteur", Paris, France, mars 2002 Masson P., Autran J.L., Garros X., Leroux C.- Frequency characterization and modeling of the capacitance response of interface traps in MOS structures with HfO2 gate dielectrics.- ULIS 2002, 3rd European Workshop on Ultimate Integration of Silicon, Munich, Germany, 7-8 March 2002 Masson P., Militaru L., De Slavo B., Ghibaudo G., Celibert V., Baron T.- Nano-crystal memory devices characterization using the charge pumping technique.- ESSDERC'2002, 32nd European Solid-State Device Research Conference, Florence, Italy, 24-26 September 2002 Monfray S., Skotnicki T., Morand Y., Descombes S., Coronel P., Mazoyer P., Harrison S., Ribot P., Talbot A., Dutartre D., Haond M., Palla R., Le Friec Y., Leverd F., Nier M.E., Vizioz C., Louis D.- 50 nm Gate All Around (GAA) – Silicon On Nothing (SON) – Devices : A simple way to co-integration of GAA transistors with bulk MOSFET process.- 2002 VLSI Symposium on Technology, Honolulu, USA, 11-13 June 2002 Munteanu D., Autran J.L.- Two-dimensional modeling of quantum ballistic transport in ultimate double-gate SOI devices.- ULIS 2002, 3rd European Workshop on Ultimate Integration of Silicon, Munich, Germany, 7-8 March 2002 Neusser A., Boulanger P., Lafore D., Malbranche P., Mattera F., Mestre P.- A new modular architecture concept for PV systems: improvement of the storage management.- PV in Europe Conference and Exhibition From PV Technology to Energy Solutions, Roma, Italy, 7-11 October 2002 Neusser A., Boulanger P., Lafore D., Malbranche P., Mestre P.- A new modular architecture concept for PV systems.- 2nd GEVIQ Conference, Marseille, France, 12-13 June 2002 Nguyen Thi H., Gastaldi J., Jamgotchian H., Haertwig J., Baruchel J., Billia B., Dabo Y., Klein H.Etude de la solidification dirigéee par imagerie X.- Matériaux 2002 : De la conception à la mise en oeuvre, Tours, 21-25 octobre 2002 Portal J.M., Forli L., Aziza H., Née D.- An automated design methodology for EEPROM cell (ADE).IEEE International Workshop on Memory Technology, Design and Testing, Isle of Bendor, France, 10-12 July 2002 228 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Portal J.M., Forli L., Aziza H., Née D.- An automated geometric defect diagnosis methodology for EEPROM cell (AGDE).- IEEE European Test Workshop, Corfu, Greece, 27-29 May 2002 Portal J.M., Forli L., Aziza H., Née D.- An automated methodology to diagnose geometric defect in the EEPROM cell.- IEEE International Test Conference, Baltimore, USA, 8-10 October 2002 Portal J.M., Forli L., Née D.- Floating-gate EEPROM cell model based on MOS model 9.- ISCAS, IEEE International Symposium on Circuits and Systems, Scottsdale, USA, 26-29 May 2002 Portal J.M., Forli L., Née D.- Floating-gate EEPROM cell: threshold voltage sensibility to geometry.ISCAS, IEEE International Symposium on Circuits and Systems, Scottsdale, USA, 26-29 May 2002 Rahajandraibe W., Auvergne D., Dufaza C., Cialdella B., Majoux B., Chowdhury V.- Very low power high temperature stability bandgap reference voltage.- ESSCIRC’ 2002, IEEE European Solid-State Circuit Conference, Florence, Italy, 24-26 September 2002 Rahajandraibe W., Auvergne D., Dufaza C., Cialdella B., Majoux B., Chowdhury V.- Process characterization for a very low power high temperature stability bandgap reference circuit.DCIS'2002, 17th Design of Circuits and Integrated Systems Conference, Santander, Spain, 1922nd November 2002 Rahajandraibe W., Dufaza C., Auvergne D., Cialdella B., Majoux B., Chowdhury V.- Low current application dedicated process characterization method.- ICMTS’02, IEEE International Conference on Microelectronic Test Structures, Cork, Ireland, 8-11 April 2002 Rahajandraibe W., Dufaza C., Auvergne D., Cialdella B., Majoux B., Chowdhury V.- Méthode de caractérisation dédiée aux applications à faible courant de fonctionnement.- 3ème Colloque CAO de Circuits et Systèmes Intégrés, Paris, France, 17-19 mai 2002 Rahajandraibe W., Dufaza C., Auvergne D., Cialdella B., Majoux B., Chowdhury V.- On chip measurement of IC(VBE) characteristics for high accuracy bandgap applications.- ICCDCS’02, IEEE International Caracas Conference on Devices, Circuits and Systems, Aruba, Dutch Caribbean, 1719 April 2002 Rahajandraibe W., Dufaza C., Auvergne D., Cialdella B., Majoux B., Chowdhury V.- Test structure for IC(VBE) parameter determination of low voltage applications.- DATE'2002, Design Automation and Test in Europe, Paris, France, 4-8 March 2002 Renard S., Boivin P., Autran J.L.- Wafer-level characterization of EEPROM tunnel oxide using a fast floating-gate technique and a realistic memory vell-based test structure.- ICTMS 2002, IEEE 2002 International Conference on Microelectronic Test Structures, Cork, Ireland, 8-11 April 2002 Saitzek S., S. Villain, Ch. Leroux, J. Musso, J.R. Gavarri, A. Kopia, J. Kusinski.- Synthèse et utilisation de nanomatériaux à base de CeO2 pour capteurs de gaz : relations entre méthodes d'élaboration, microstructure et performances.- Matériaux 2002, De la conception à la mise en œuvre, Tours, France, 21-25 octobre 2002 Saitzek S., Villain S., Leroux Ch., Gavarri J.R.- Nanopoudres de dioxyde de cérium CeO2 pures et dopées : application aux capteurs de gaz.- GFCC2002, Colloque Annuel du Groupe Français de Croissance Cristalline, Toulon, France, 13-15 mars 2002 Saitzek S., Villain S., Leroux Ch., Gavarri J.R.- Nanopowders of pure and doped CeO2 (Cu, Mn, V).- ICEM 15, 15th International Conference on Electron Microscopy, Durban, South Africa, September 2002 Saitzek S., Villain S., Leroux Ch., Gavarri J.R.- Nanopowders of pure and doped CeO2 (Cu, Mn, V).- JMM, Joint Microscopy Meeting, Lille, France, juin 2002 Sauques L., Guinneton F., Valmalette J.C., Cros F., Gavarri J.R.- Couches minces de dioxyde de vanadium thermochrome : Influence de la température et de l'épaisseur sur les propriétés optiques et thermo-optiques.- GFCC2002, Colloque Annuel du Groupe Français de Croissance Cristalline, Toulon, France, 13-15 mars 2002 PRODUCTIONS 229 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Tatinian W., Pannier P., Gillon R.- A new methodology for the computation of the substrate parasitics of octogonal inductors.- Radio Frequency Integrated Circuits (RFIC) 2002 Symposium, Seattle, USA, 9-11 June 2002 Tatinian W., Pannier P., Gillon R.- A new methodology for the computation of the substrate parasitics of octogonal inductors.- 2002 IEEE MTT-S International Microwave Symposium, Seattle, USA, June 2002 Themlin J.M., A. Charrier, F. Thibaudau, J.M. Debever, R. Pérez, J. Ortega, F. Flores.- Strongly correlated systems at semiconductor surfaces.- ICSFS-11, 11th International Conference on Solid Films and Surfaces, Marseille, juin 2002 Trapes C., Bravaix A., Goguenheim D.- Impact of carrier injection in 2.2 nm thick SiO2 oxides after first and substrate enhanced electron injection.- 4th Symposium on SiO2 and Advanced Dielectrics, Trento, Italy, 16-18 September 2002 Trapes C., Goguenheim D., Bravaix A.- Comparaison des injections en mode d'ionisation primaire et secondaire sur des NMOSFET's de 2.2 nm d'épaisseur d'oxyde.- Vèmes Journées Nationales du Réseau Doctoral de Micro-électronique, Grenoble, France, avril 2002 Villain S., Ch. Leroux, J. Musso, J.R. Gavarri, L. Aneflous,, M.H. Pischedda, M.H. Frémy.Nanoparticules d'oxydes de cérium pour capteurs de gaz: relations entre techniques d'élaboration et stœchiométrie des poudres.- Journées Franco-Italiennes de Chimie, Régions Provence Alpes Côte d'Azur Ligurie - Piémont Val d'Aoste, Antibes, France, 18-19 avril 2002 Chamard V., Metzger T. H., Holy V., Sztucki M., Tolan M., Bellet-Amalric E., Daudin B., Adelmann et C., Mariette H.- Vertical alignment of GaN quantum dots stacked in multilayers.- 7SXNS Lake Tahoe (USA), septembre 2002. Chamard V., Metzger T. H., Tolan M., Bellet-Amalric E., Daudin B., Mariette H. et Adelmann C.Strong vertical alignement of GaN quantum dots stacked in multilayer.- DPG Frühjahrstagungen, Regensburg (Allemagne), mars 2002. Chatain S., Gueneau C., Labroche D., Chatillon C., Rogez J., Dugne O.- Elaboration d'une base de données thermodynamiques sur le système U-Fe-O.- XXVIII JEEP, Agadir, mars 2002. Coulet M.V., Bencherifa A., Rogez J., Carpena J., Jemal M., Mathieu J.C.- Stabilité thermodynamique des structures apatites de type Ca10-n(TR)n(SiO4)n(PO4)6-nF2. XXVIII JEEP, Agadir, mars 2002. Coulet M-V., Céolin R., Bellissent R., Beuneu B., Bergman C., Ambroise J.P., Bichara C.- A new experimental method for studying phase separation through neutron diffraction : the case of Asrich liquid alloys in the As-S system.- Proceedings of the 11th International Conference on Liquids and Amorphous Metals, Septembre 2001 - Yokohama - Japon Coulet M-V., Céolin R., Bellissent R., Beuneu B., Bichara C.- Séparation de phase observée à l'échelle atomique (oral).- Ecole Structure et dynamique des systèmes désordonnés + JDN11, Mai 2002 - Presqu'île de Giens – France Coulet M-V., Céolin R., Bellissent R., Beuneu B., Ambroise J.P., Bichara C.- Phase separation and local order in As-S liquid alloys (poster).- 4th Liquid Matter Conference , Septembre 2002 Konstanz – Allemagne Gavillet J., Loiseau A., Thibault J., Maigné A., Stephan O.- HREM and EFTEM STUDIES of catalyst composition influence on carbon SWNT nucleation and growth.- ICEM-15 - Int. Cong. Electron Microscopy (Durban - sept 2002). Gutt C., Tolan M., Ghaderi T., Madsen A., Seydel T., Chamard V.- Capillary waves on water.7SXNS Lake Tahoe (USA), septembre 2002. Lamzatouar A., Elkajbaji M. , Charai A., Benassai M., Hardouin Duparc O. B. M., Thibault ,J.- The atomic structure of Σ=33 {144}<011> (θ = 20.05°) tilt grain boundary in germanium.- ICEM-15 Int. Cong. Electron Microscopy (Durban - sept 2002). 230 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Lbibb R., Rogez J., Castanet R.- Détermination expérimentale du diagramme d'équilibre de phases ternaires Pd-Pt-Ge, XXVIII JEEP, Agadir, mars 2002. Nicolas M., Deschamps A..- Precipitate microstructure in the HAZ of Al-Zn-Mg MIG-welds, evolution during post-welding heat treatments.- International Conference on Aluminium Alloys ICAA8, Cambridge, 2002 Nicolas M., Deschamps A..- Microstructural evolution during non-isothermal heat treatments of a commercial Al-Zn-Mg alloy.- Matériaux 2002, Tours, France, CM-11-026 Perbost R., Olives J., Amouric M., Rogez J., Gailhanou H., Inoue A.- Illite-smectite mixed layering: structure and stability studied by HRTEM, microcalorimetry, and energy modelling.- International meeting ANDRA on "Clays in natural and engineered barriers for radioactive waste confinement", Reims, decembre 2002 Roussel J.M., Ashkenazy Y., Bellon P.- An improved diffusion model for CuCo system: activation barriers from TB-SMA potentials NATO Advanced Study Institute, Thermodynamics, Microstructures and Plasticity, Fréjus, France, September 2-13, 2002. Texier M., Bonneville J., Proult A., Rabier J., Baluc N.- Plastic deformation and microstructure of AlCuFe and AlPdMn quasicrystals. GdR 2284 "Systèmes élastiques : du désordre à la plasticité", Carcassone (France), 23-26 septembre 2002. Texier M., Proult A., Bonneville J., Rabier J., Baluc N.- Plasticité de la phase quasicristalline iAlCuFe déformée à haute température. .- Congrès "8ièmes journées de la matière condensée", Marseille (France), 27-30 août 2002. Texier M., Proult A., Bonneville J., Rabier J., Baluc N., Cordier P.- Etude de la microstructure de quasicristaux AlPdMn déformés à température ambiante.- Congrès "8ièmes journées de la matière condensée", Marseille (France), 27-30 août 2002 2003 Abel M., Dimitriev A., Fasel R., Lin N., Kern K.- Etude STM de la formation de nanostructures organiques en surface de Cu(100).- Forum des Microscopies à Sondes Locales, La Grande Motte, France, mars 2003 Abel M., Koudia M., Maurel C., Bliek A., Catalin D., Mossoyan-Déneux M., Mossoyan J.C. , Porte L., Themlin J.M.- Formation of organic nanostructures by molecular self-assembly at surfaces.Worshop France-USA "Electronique à l’échelle moléculaire / Molecular-scale electronics", Ecole Normale Supérieure, Paris, décembre 2003 Aguir K., Bendahan M., Lambert-Mauriat C., Lauque P.- High sensistive ozone sensors based on WO3 thin films.- Eurosensors XVII, Guimares, Portugal, 21-24 septembre 2003 Aguir K., Guérin J., Mauriat C.- Oxygen effect on the conductivity of WO3.- I3S, International Symposium on Sensor Sience, Paris, 16-20 June 2003 Aneflous L., Benyaich H., Benlhachemi A., Villain S., Gavarri J.R., Musso J.- Elaboration and characterization of europium doped ceria solid solutions Ce1-xEuxO2-d.- ACMS III, 3rd Arab Conference on Materials Science, Hurghada, Egypt, 14-18 September 2003 Aneflous L., Saitzek S., Musso J., Gavarri J.R., Benyaich H., Benlhachemi A.- Nanopoudres de dioxyde de cérium dopé aux terres rares : Etude d’un capteur gaz.- XXX JEEP, 30èmes Journées d’Etude des Equilibres entre Phases, Metz, France, 31 mars et 1er avril 2003 Artigue O., Enguent J.P., Tételin C.- S parameter model for contacless smart card.- European Microwave Week, European Conference on Wireless Technology, Münich, Germany, 6-10 October 2003 PRODUCTIONS 231 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Autran J.L., Munteanu D., Houssa M.- Potential fluctuations in high-k based MOS devices.- 203rd Meeting of the Electrochemical Society, Symposium F1 "Science and technology of dielectrics in emerging fields", Paris, France, 27 avril - 2 mai 2003 Barrett N., Themlin J.M., Krasovskii E.E., Strocov V.N.- Final state elastic scattering in the electron mean free path : epitaxial graphite on SiC.- ECOSS-22, 22nd International Conference on Surface Science, Praha, Czech.Republic, 7-12 September 2003 Barthélemy H, Meillère S.- High gain CMOS class AB OpAmp based on current-controlled currentconveyors.- ECCTD 2003, 2003 European Conference on Circuits Theory and Design, Kraków, Poland, 2003 Barthélemy H.- Current mode and voltage mode : basic considerations.- MIDWEST 03, 46th IEEE Midwest Symposium on Circuits and Systems, Cairo, Egypt, 23-28 December 2003 Barthélemy H., Rahajandraibe W.- NMOS transistors based Karsilayan & Schaumann gyrator : lowpass and bandpass filter applications.- MIDWEST 03, 46th IEEE Midwest Symposium on Circuits and Systems, Cairo, Egypt, 23-28 December 2003 Barvinschi F., Hodroj A., Sylla L., Delannoy Y., Mangelinck-Noël N., Duffar T. et Boulechfar H., Durand F.- Etude des mécanismes des procédés de solidification du silicium multicristallin.Rencontres et journées techniques sur les matériaux et procédés pour la conversion photovoltaïque de l‚énergie solaire, Séminaire ADEME-CNRS, Sophia-Antipolis, 18-19 novembre 2003 Bergman C., Chatain D., Clugnet G., Dubois J.M., Gas P., Girardeaux C., Perrin C., Rivier N.Comparative study of the wettability by liquid Pb of the surfaces of decagonal Al13Co4 and cubic AlCo thin films.- Joint Colloquium GDR-CINQ and SPQK, Schwerpunkt Quasikristalle, Nancy, France, mai 2003 Bergman C, Clugnet G., Gas P., Girardeaux C., Perrin C., Chatain D., Dubois J.M., Rivier N.Synthèse de films QC et cristallins par réactivité interfaciale et étude in situ de leurs propriétés de mouillage.- Colloque d'Evaluation ACI Surfaces, Interfaces et Conception de Nouveaux Matériaux, Paris, 27-28 octobre 2003 Bernardini S.- Modélisation de mémoires Flash à nano-crystaux de silicium.- 6èmes Journées Nationales du Réseau Doctoral de Microélectronique, Toulouse, France, mai 2003 Bernardini S., Laffont R., Masson P., Ghibaudo G., Lombardo S. De Salvo B., Gerardi C.- A predictive nano-crystal Flash memory simulator.- ULIS 2003, 4th European Workshop on Ultimate Integration of Silicon, Udine, Italy, 20-21 March 2003 Bernardini S., Masson P., Houssa M.- Effect of fixed dielectric charges on tunneling transparency in MIM and MIS structures.- INFOS'2003, INsulating Film On Silicon 13th bi-annual Conference, Barcelona, Spain, June 2003 Bernardini S., Masson P., Houssa M., Lalande F.- Impact of oxide charge trapping on I-V characteristics of MIM capacitor.- ESSDERC'2003, 33rd European Solid-State Device Research Conference, Estoril, Portugal, September 2003 Bernardini S., Masson P., Houssa M., Lalande F.- Determination of oxide charge repartition in memory tunnel oxide under stress from Fowler-Nordheim current measurements.- ESSDERC'2003, 33rd European Solid-State Device Research Conference, Estoril, Portugal, September 2003 Bescond M., Autran J.L., Lannoo M.- Quantum transport simulation in double-gate nano-transistors using the Green’s function approach and the tight-binding approximation.- ULIS 2003, 4th European workshop on Ultimate Integration of Silicon, Udine, Italy, 20-21 March 2003 Bescond M., Autran J.L., Lannoo M.- Modélisation atomique d’un transistor MOSFET double grille.1ères journées « Composants Micro et Nano-électroniques» GDR Nano-électronique, Grenoble, France, 28-31 janvier 2003 232 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Bescond M., Autran J.L., Munteanu D., Cavassilas N., Lannoo M.- Atomic-scale modeling of sourceto-drain tunneling in ultimate Schottky barrier double-gate MOSFET’s.- ESSDERC'2003, 33rd European Solid-State Device Research Conference, Estoril, Portugal, 16-18 September 2003 Bizzari C., Houssa M., Autran J.L.- Simulation of bias temperature instabilities in pMOSFETs with HfxSiOy-based gate dielectrics.- 204th Meeting of the Electrochemical Society, Orlando, USA, 1217 octobre 2003 Borgetto M., Jauffret C., Rigaud V.- Autolocalisation d’un véhicule sous-marin exploratoire quadrillant une zone.- Conférence GRETSI, Toulouse (France), septembre 2003 Bouchakour.R, Portal J.M, Gallière J.M., Azaïs F., Bertrand Y., Renovell M.- A compact DC model of gate oxide short defect.- INFOS'2003, INsulating Film On Silicon 13th bi-annual Conference, Barcelona, Spain, June 2003 Bravaix A., Goguenheim D., Revil N., Vincent E.- Hole injection enhanced hot-carrier degradation in PMOSFETs used for system on chip applications with 6.5-2nm thick gate-oxide.- ESREF 2003, 14th European Symposium Reliability of Electron Devices, Arcachon, France, 7 - 10 octobre 2003 Bravaix A., Goguenheim D., Revil N., Vincent E.- Deep hole trapping effects in the degradation mechanisms of 6.5 to 2nm thick gate-oxide PMOSFETs.- INFOS'03, 13th Insulating Films on Semiconductors, Barcelona, Spain, 18-20 June 2003 Canet P., Lalande F., Bouchakour R., Martin M.- Non volatile memory cell design : sizing assisted by a predictive model.- NVMTS2003, 4th Annual Non-Volatile Memory Technology Symposium, San Diego, California, 12-13 November 2003 Castagnos A-M., Menou N., Turquat Ch., Madigou V., Chevallier V., Johnson J., Wouters D.J., Muller C.- On the investigation of SBT-based FeCAP failure mechanisms.- MiMeA, Congrès de la Société Française des Microscopies, Toulon, France, 23-26 juin 2003 Chmielowska-Klimczak M., Chmielowski R., Kopia A., Kusiski J, Leroux C., Villain S, Saitzek S., Gavarri J.R.- L’influence du cuivre sur la structure et les propriétés catalytiques des couches minces de cérine obtenues par ablation laser.- Congrès FRAPOL03 (Polonium), Bourges, France, juin 2003 Chmielowska-Klimczak M., Kopia A., Kusinski J., Saitzek S., Villain S., Leroux C., Gavarri J.R.Nanopowders and thin films of Cu-doped CeO2 for gas sensors applications.- MiMeA, Congrès de la Société Française des Microscopies, Toulon, France, 23-26 juin 2003 Daré A.M., Hayn R. and Richard J.L.- Echanges magnétique et orbital dans LiNiO2.- Colloque du GDR Oxydes à Propriétés Remarquables, Caen-Colleville, France, 18-21 mai 2003 Deleruyelle D., Le Royer C., De Salvo B., Mariolle D., Baron T., Le Carval G., Fraboulet D., Autran J.L., Deleonibus S.- A nano-scaled multiple-tunnel-junction memory employing silicon nano crystals as charge injectors.- 2003 Silicon Nanoelectronics Workshop, Kyoto, Japon, 8-9 juin 2003 Denais M., Huard V., Parthasarathy C., Ribes G., Perrier F., Revil N., Bravaix A.- Interface traps and oxide traps creation under NBTI and PBTI in advanced CMOS technology with a 2nm gateoxide.- IRW, IEEE Integrated Reliability Workshop, Stanford Sierra Camp, Lake Tahoe, USA, 20-23 October 2003 Desbief S., Goguenheim D., Patrone L.- Formation de nano-domaines dans les monocouches mixtes d’alkylsilanes auto-assemblées sur silicium : application à l’électronique moléculaire.Atelier «Physique des Surfaces et Nanostructures», Institut d’Etudes Scientifiques de Cargèse, Cargèse, France, 27-31 mai 2003 Desbief S., Patrone L. , Goguenheim D., Vuillaume D.- Formation de nano-domaines dans les monocouches mixtes d’alkylsilanes auto-assemblées sur silicium : application à l’électronique moléculaire.- Congrès Général de la Société Française de Physique, session : «Des Nanosciences aux Nanomatériaux», Villeurbanne, 7-10 juillet 2003 PRODUCTIONS 233 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Dupuis V., Bartala M., Laviole O., Moya. F.- Damage to luting GIC in relation with ions release and water diffusion.- 39th Annual Meeting of International Association of Dental Research, Continental European Division, Göteborg, Suède, juin 2003 Egels M.- Dispositif de conversion entre un signal optique et une porteuse micro-onde pour réseaux locaux mixtes fibre-radio.- JNOG 2003, 22èmes Journées Nationales de l'Optique Guidée 2003, Valence, 12-14 novembre 2003 Egels M., Delacressonniére B., Lecoy P.- Dispositif de conversion entre un signal optique et une porteuse micro-onde pour réseaux mixtes fibre-radio.- Journées Nationnales de l'Optique Guidée 2003, Valence, France, 12-14 2003 Forli L., Portal J.M., Née D.- Infrastructure IP pour l’amélioration du rendement du back-end.Journées Nationales du Réseau Doctoral de Microélectronique, Toulouse, France, 2003 Forli L., Portal J.M., Née D., Borot B.- Infrastructure IP for back-end yield improvement.- IEEE International Test Conference, Charlotte, NC, USA, 30 September - 2 October 2003 Forli L., Portal J.M., Née D., Borot B.- Infrastructure IP for back-end yield improvement.- ETW'03, IEEE European Test Workshop, Maastricht, Pays-Bas, 25-28 May 2003 Gas P., Bergman C., Mangelinck D.- Nanoscale effects on interfacial reaction.- International Symposium on Materials Processing for Nanostructured Device, Nouan le Fuselier (France), 4-7 mai 2003 Gilibert F., Rideau D., Bernardini S., Scheer P., Minondo M., Roy D., Gouget G., Juge A.- Channel debiasing and gate current modelling in advanced CMOS devices.- ULIS 2003, 4th European Workshop on Ultimate Integration of Silicon, Udine, Italy, 20-21 March 2003 Gillet M., Gillet E., Aguir K.- Study of oxygen interaction with WO3.- I3S, International Symposium on Sensor Sience, Paris, 16-20 June 2003 Gillet M., Masek K., Gillet E.- Structure of tungsten oxide nanoclusters.- ECOSS-22, 22nd International Conference on Surface Science, Praha, Czech.Republic, 7-12 September 2003 Girardeaux C., Aufray B., Rolland A. and Bernardini J.- Experimental surface segregation studies : influence of the structure of the surface.- IWSIS-4, 4th International Workshop on Surface and Interface Segregation, Faure, South Africa, 17-22 August 2003 Gontier-Moya E.G., Beszeda I., Moya F.- Comparisons of parameters involved in mass transport and desorption at the surface of noble metals and sapphire.- ECOSS 22, 22nd European Conference on Surface Science, Praha, Czech.Republic, 7-12 September 2003 Guirléo G., Saitzek S., Guinneton F., Leroux C., Villain S., Aguir K., Sauques L., Gavarri J.R.Structural and infrared properties of thermochromic VO2 and CeO2 layers deposited on SiO2 or Si(100) substrates by RF sputtering.- MiMeA, Congrès de la Société Française des Microscopies, Toulon, France, 23-26 juin 2003 Harrison S., Coronel P., Leverd F., Cerutti R., Palla R., Delille D., Borel S., Jullian S., Pantel R., Descombes S., Dutartre D., Morand Y., Samson M.P., Lenoble D., Talbot A., Villaret A., Monfray S., Mazoyer P., Bustos J., Bru H., Cro A., Munteanu D., Autran J.L., Skotnicki T.- Highly performant double gate MOSFET realized with SON process.- IEDM 2003, IEEE 2003 International Electron Device Meeting, Washington, Etats-Unis, 7-10 décembre 2003 Houssa M., Aoulaiche M., Autran J.L.- Model for NBTI in pMOSFETs with ultrathin gate oxide layers : Comparison between electron and hole injection.- 34th IEEE Semiconductor Interface Specialists Conference, Washington DC, USA., 4-6 décembre 2003 Isa M., Leroux C., Valmalette J.C.- An amazing phenomenon : ZrAu ultrafast oxidation in air and at room temperature. Study with different microscopy techniques.- 8ème Congrés de la Société Française des Microscopies, Toulon, France, 23-26 juin 2003 234 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Isa M., Valmalette J.C., Muller C., Leroux C., Ait Chaou A., Lomello-Tafin M., Rousset J.L., Mangelinck D., Gas P.- The reactive nanostructuration of ZrAu alloy : A new approach for synthesis of 2-4 nm Au-ZrO2 nanostructures.- EUROMAT, Symposium Nanostructured Materials - European Congress on Advanced Materials and Processes, Lausanne, Switzerland, 1-5 September 2003 Isa M., Valmalette J.C., Muller C., Leroux C., Lomello-Tafin M., Gas P.- Ultrafast reactive nanostructuration of ZrAu intermetallic compound.- 16ème Journées de la Chimie, Société Française de Chimie PACA, Marseille, 3 avril 2003 Jennane A., Bernardini J., Moya G.- Isochronal annealing of quenched Ni2Si compounds : characterisation of defects by magnetic susceptibility and positron annihilation spectroscopy.PIRM II, 2ème Congrès International sur la Physique des Interactions Rayonnement-Matière, Settat, Maroc,19-21 février 2003 Labbé A., Portal J.M., Pérez A.- DES-SRAM IP-Core : a SRAM embedding DES feature.- SOC'03, IEEE International SOC Conference, Portland, USA, 17-20 September 2003 Labbé A., Pérez A., Portal J.M.- A SRAM modified for DES self-encryption.- DCIS 2003, XVII Conference on Design of Circuits and Integrated Systems, Ciudad Real, Spain, 19-21 November 2003 Laffont R., P. Masson, P. Canet, B. Delsuc, R. Bouchakour, Mirabel J.M.- New Fowler Nordheim current determination in EEPROM cell from transient measurements.- ESSDERC'2003, 33rd European Solid-State Device Research Conference, Estoril, Portugal, September 2003 Leandri C., Aufray B., Le Lay G., Girardeaux C., Avila J., Davila M.E., Asensio M.C., Ottaviani C. et Cricenti A.- Metallic silicon nanowires.- The Royal Society Scientific Discussion Meeting, "Organising atoms : manipulation of matter on the sub-10 nanometer scale", London, England, October 2003 Leandri C., Aufray B., Le Lay G., Oughaddou H., Biberian J.P., Girardeaux C., Ottaviani C. et Cricenti A.- Silicon stripes formation on Ag(100) : AES-LEED and STM study.- 3SO3, Symposium on Surface Science, La Plagne, France, avril 2003 Léonard S., Madigou V., Villain S., Nigrelli E. and Nihoul G.- SrBi2Ta2O9 thin films on a conductive oxide substrate (memories FeRam).- MiMeA, Congrès de la Société Française des Microscopies, Toulon, France, 23-26 juin 2003 Lopez J.M., Jauffret C.- Trajectographie multisenseurs en détection passive rader : application au cas d’une force militaire navale.- Conférence GRETSI, Toulouse (France), septembre 2003 Lopez J.M., Jauffret C.- Exploitation de l’information de hauteur d’un radar en détection marine.Conférence OTAN, Budapest (Hongrie), octobre 2003 Lopez L., Masson P., Née D., Bouchakour R.- Temperature and drain voltage dependence of gate induce drain leakage.- INFOS'2003, INsulating Film On Silicon 13th bi-annual Conference, Barcelona, Spain, June 2003 Madigou V., Léonard S. and Nihoul G.- Extraction d’informations fines par traitement d'images MEHR de films minces d'oxydes.- 31èmes journées du GUMP (Groupement des Utilisateurs de Microscopes électroniques Philips), Concarneau, 6 et 7 mai 2003 Mangelinck D., Gas P., T. Badeche T., Taing E., Nemouchi F., Perrin-Pellegrino C., Niel S., Mirabel J.-M., Farez L., Albarede P.H.- Formation of C49-TiSi2 in flash memories : a nucleation controlled phenomenon ?MAM 2003, European Workshop on Materials for Advanced Metallization, La Londe, France, 9-12 mars 2003 Mangelinck-Noël N., Dupouy M.D., Zaïdat K., Sylla L.- Programme de recherche sur la transition colonnaire-équiaxe et la croissance équiaxe.- Séminaire du GDR 2258 "Phénomènes de Transport et Transitions de Phase en Micropesanteur", Aussois, 26-28 mai 2003 PRODUCTIONS 235 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Menou M., Castagnos A-M., Muller C., Johnson J., Wouters D.J., Baturin I., Shur V. Ya.- Failure analysis of FeCAPs.- 10th European Meeting on Ferroelectricity, Cambridge, United Kingdom, août 2003 Menou N., Castagnos A.M., Turquat C., Chevallier V., Johnson J., Wouters D.J., Muller C.- Analyse des modes de défaillance de condensateurs ferroélectriques Pt/SBT/Pt.- Journées Couches Ferroélectriques, Bordeaux, France, septembre 2003 Menou N., Castagnos A-M., Muller C., Johnson J., Goux L., Wouters D.J.- Radiation hardness of SBT-based ferroelectric capacitors.- RADECS, 7th European Conference on Radiation and Its Effects on Components and Systems, Noordwijk, The Netherlands, septembre 2003 Menou N., Muller C., Save D.- La fatigue : un mode de défaillance des mémoires ferroélectriques FeRAM.- JNRDM, Journées Nationales de Rencontre des Doctorants en Microélectronique, Toulouse, France, avril 2003 Munteanu D., Autran J.L., Harrison S., Skotnicki T.- Unified analytical model of threshold voltage in symmetric and asymmetric double-gate MOSFETs.- ULIS 2003, 4th European workshop on Ultimate Integration of Silicon, Udine, Italy, 20-21 March 2003 Nemouchi F., Mangelinck D., P. Gas P., Zhang S.L.- Etude des réactions entre un film de nickel et des substrats semi-conducteurs.- JNRDM 2003, VIe Journées Nationales du Réseau Doctoral de Microélectronique (Micro et Nanotechnologie), Université Paul Sabatier de Toulouse, France, 14-16 mai 2003 Patrone L., Desbief S., Goguenheim D., Vuillaume D.- Nanostructuration par auto-assemblage moléculaire : étude et application à l’électronique moléculaire.- 3ème Salon «Matériaux Polymères Innovants et Technologies Associées», ISITV et Université de Toulon et du Var, La Valette, France, 12 juin 2003 Payet F., Cavassilas N., Autran JL.- Theoretical investigation of hole transport in strained Si inversion layer.- ULIS 2003, 4th European Workshop on Ultimate Integration of Silicon, Udine, Italy, 20-21 March 2003 Portal J.M., Aziza H., Née D.- EEPROM memory : threshold voltage built In self diagnosis.- IEEE International Test Conference, Charlotte, NC, USA, 30 September - 2 October 2003 Portal J.M., Aziza H., Née D.- EEPROM memory diagnosis based on threshold current extraction.DCIS'03, Design of Circuits and Integrated Systems Conference, Ciudad Real, Spain, 19-21 November 2003 Portal J.M., Aziza H., Née D.- EEPROM memory : threshold voltage built in self diagnosis.- ETW'03, IEEE European Test Workshop, Maastricht, Pays-Bas, 25-28 May 2003 Portal J.M., Aziza H., Née D.- Mémoires EEPROM : extraction des tensions de seuil en vue du diagnostic.- Journées Nationales du Réseau Doctoral de Microélectronique, Toulouse, France, 2003 Portal J.M., Delsuc B., Bouchakour R., Boivin P., Taillet F., Née D.- EEPROM cell : design optimization methodology.- MIDWEST 03, 46th IEEE Midwest Symposium on Circuits and Systems, Cairo, Egypt, 23-28 December 2003 Portal J.M., Lopez L., Née D.- eDRAM diagnosis : an embedded measurement function for capacitor.- 1st IEEE International Workshop on Infrastructure IP, Charlotte, NC, USA, 2003 Rafii E., Giorgi M., Mossoyan-Déneux M., Vanthuyne N., Roussel C.- Host-guest crystal complexes using unreported N,N’-di-3-nitrosalicylidene-(R,R)-1,2-cyclohexane-diamine.ISCD 15, International Symposium on Chiral Discrimination, Shizuoka, Japan, 20-23 October 2003 Rahajandraibe W., Dufaza C., Rashid T., Chowdhury V., Majoux B.- Design methodology with application to low Bias reference voltage circuit.- SSD'03, International Conference on Signals, Systems, Decision and Information Technology, Sousse, Tunisia, 26-28 March 2003 236 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Rahajandraibe W., Dufaza C., Rashid T., Chowdhury V., Majoux B.- Performance bandgap reference voltage using polysilicon resistor.- DCIS'03, Design of Circuits and Integrated Systems Conference, Ciudad Real, Spain, 19-21 November 2003 Reinhart G., Zhou B.H., Nguyen Thi H., Dabo Y., Billia Liu Q.- Comparative Study of influence of natural and forced convection on columnar microstructures in directional solidification of Al – 3.5 wt% Ni.- 4th International Conference on Electromagnetic Processing of Materials 2003, Grenoble, France, 14-17 octobre 2003 Renovell M., Gallière J.M., Azaïas F., Bertrand Y., Portal J.M., Bouchakour R.- An embedded gate oxide short model for efficient electrical simulation.- IWS2003, IX International Workshop Iberchip, Habana, Cuba, March 2003 Renovell M., Gallière J.M., Azaïs F., Bertrand Y., Portal J.M., Bouchakour R.- GOSMOS : a gate oxide short defect embedded in a MOS compact model.- LATW'03, 4th IEEE-Latin American Test Worshop, Natal, Brazil, February 2003 Rolland A., Bernardini J., Moya G., Girardeaux C.- Kinetics of tin segregation on crystalline semiconductor surfaces : effect of the defects induced by ion bombardment.- ECOSS 22, 22nd European Conference on Surface Science, Praha, Czech.Republic, 7-12 September 2003 Saitzek S., Guirleo G., Guinneton F., Sauques L., Villain S., Fremy M.A., Leroux C., Aguir K., Gavarri J.R.- Elaborations, caractérisations structurales et propriétés physiques de bicouches thermochromes CeO2-VO2.- Congrès de la Société Française de Physique, 2003 Saitzek S., Guirléo G., Guinneton F., Villain S., Leroux C., Aguir K., Gavarri J.R.- Commutation optique de bicouches thermochromes CeO2-VO2 élaborées par pulvérisation.- 16ème Journées de la Chimie, Société Française de Chimie PACA, Marseille, 3 avril 2003 Si Ahmed A., Kansy J., Zarbout K., Moya G., Goeuriot D.- Positron trapping within the grain and at grain boudaries in sintered alumina of high impurity content.- ICPA 13, 13th International Conference on Positron Annihilation, Kyoto, Japan, 7-13 September 2003 Tatinian W., Pannier P., Gillon R.- A practical simulation-based study on MIM-capacitors processed in MOS technologies.- MIDWEST 03, 46th IEEE Midwest Symposium on Circuits and Systems, Cairo, Egypt, 23-28 December 2003 Tatinian W., Simoen E., Ouassif N., Desoete B., Gillon R., Pannier P.- Self-heating based model for polysilicon resistors.- MIDWEST 03, 46th IEEE Midwest Symposium on Circuits and Systems, Cairo, Egypt, 23-28 December 2003 Themlin J.M., Strocov V.N., Krasovskii E.E., Barrett N.- Elastic scattering effects in the electron mean free path of graphite.- ICFSI-9, 9th International Conference on the Formation of Semiconductor Interfaces, Madrid, Espagne, septembre 2003 Valmalette J.C.- Auto-assemblage de nanocristaux à faces différenciées.- NanoHybrides0, Carryle-Rouet, 2-6 juin 2003 Villaret A., R. Ranica, P. Masson, P. Mazoyer, S. Cristoloveanu, Skotnicki T.- Mechanisms of charge modulation in floating body of triple-well N-MOSFET capacitor-less DRAMs.- INFOS'2003, INsulating Film On Silicon 13th bi-annual Conference, Barcelona, Spain, June 2003 Zhou B.H., Nguyen Thi H., Reinhart G., Dabo Y., Billia Liu Q., Lyubimova T.P., Roux B.- Directional solidification microstructures under natural and controlled convection conditions.- International Conference on “Advanced Problems in Thermal Convection”, Perm, Russie, 24-27 novembre 2003 Ardhaoui K., Rogez J., Jemal M., Ben Cherifa A.- Etude de la stabilité thermodynamique de britholites au lanthane fluorées.- 1ères journées de la chimie du solide (JCS), 2003, Monastir (Tunisie) Boa D., Hassam S., Rogez J., Kotchi K.P., Mathieu J.C.- Le système Fe-Sb : Etude de détail.XXIXèmes JEEP, Villerbanne, avril 2003 PRODUCTIONS 237 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Coulet M-V., Aquilanti G., Pascarelli S., Bichara C., Gaspard J.P.- EXAFS investigations of structural transitions in GeTe compound under high pressure .- XAS workshop, Juin 2003 – Grenoble – France Décamps B., Priester L., Thibault J.- On the core localization of grain boundariesextrinsic dislocations in nickel.- Euromat 2003-(Lausanne 1-5 sept 2003) Gailhanou H., Perbost R., Olives J., Amouric M., Rogez J., Blanc P., Gaucher E. et Inoue A.Microstructure and Thermodynamic Properties of Illite-Smectite Mixed-Layer Minerals: HRTEM, Calorimetry, and Energy Modelling, .- Euroclay, Modena (Italie), juin 2003 Gailhanou H., Perbost R., Olives J., Amouric M., Rogez J., Blanc P., Gaucher E. et Inoue A.Thermodynamic stability of illite-smectite mixed-layer minerals : experimental and theoretical results.- EGS-AGU-EUG Joint assembly, Nice, avril 2003. Hidalgo P., Palais O., Martinuzzi S. .- Behaviour of metallic impurities at GBs and dislocation clusters in mc-Si wafers deduced from contactless lifetime scan maps. .- 7th Beam Injection Assessment of Microstructures in Semiconductors – BIAMS 2003, Lille, juin 2003 Idrissi H., Lancin M., Regula G., Pichaud B.- Study of dislocation mobility in 4H-SiC by X-Ray transmission topography, chemical etching and transmission electron microscopy.- ISCREAM 2003, Lyon, Septembre 2003 Nicolas M., Deschamps A.- Modelling of the precipitation evolution during non - isothermal heat treatments in an Al Zn Mg alloy.- Proceedings of Euromat 2003, Lausanne. Palais O., Hidalgo P., Martinuzzi S.- Comportement des impuretés métalliques aux joints de grains dans le silicium multicristallin deduit la technique µW-PS .- DES 2003 (Défauts étendus dans les semiconducteurs) Poitiers. (2003) Palais O., Lamzatouar A., Hardouin Duparc O.B.M., Thibault J., Charaï A. .- Correlation between electrical activity and various structures of Ge grain boundaries .- 7th Beam Injection Assessment of Microstructures in Semiconductors – BIAMS 2003, Lille, Juin 2003 Pizzini S., Leoni E., Binetti S., Acciari M., Le Donne A., Pichaud B.- Luminescence of dislocations and oxide precipitates in Si.- Conference GADEST 2003, Berlin, Allemagne, September 21-26 Roussel J.M., Bellon P.- Interdiffusion dans des multicouches CuNi.- Réunion du GDR Relax Aussois, 19-22 Mars 2003. Roussel J.M., Saúl A., Tréglia G., Legrand B.- L'effet surfactant linéaire, ou quand l'équilibre local sous flux prend le relais de l'équilibre local. .- Séminaire thématique du GDR Relax - Modélisation des couches épitaxiées CEMES - Toulouse, 5 et 6 novembre 2003. Texier M., Bonneville J., Proult A., Rabier J.- Microstructure of icosahedral AlPdMn deformed at low and intermediate temperatures.- International congress "ICSMA 13", Budapest (Hungary), August 25-30, 2003. Texier M., Bonneville J., Proult A., Rabier J.- Microstructure of low-temperature deformed quasicrystals.- GdR "Cinq", Nancy (France), 26-28 mai 2003. Texier M., Bonneville J., Proult A., Rabier J., Baluc N.- On the plasticity of quasicrystalline alloys.International congress "ICSMA 13", Budapest (Hungary), August 25-30, 2003. Texier M., Proult A., Bonneville J., Rabier J.- Microstructural analysis of AlPdMn quasicrystals deformed under confining pressure.- International congress SBMM, Caxambú, (Brazil) septembre 21-24, 2003. Texier M., Proult A., Bonneville J., Rabier J., Baluc N., Cordier P.- Microstructure de la phase quasicristalline i-AlPdMn déformée à température ambiante, sous pression de confinement.Congrès "Plasticité", Lyon (France), 15-17 mai 2003. 238 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Texier M., Proult A., Bonneville J., Rabier J., Cordier P.- Etude des propriétés mécaniques et des microstructures des alliages quasicristallins AlPdMn et AlCuFe.- Congrès "Plasticité", Lille (France), 24-26 mars 2003. Varlet H., Curtil C., Alfonso C., Burle N., Arnoult A., Fontaine C., Laügt M. .- Characterization by X-ray diffraction and TEM of GaInAs & GaAsN single layers and quantum wells grown on GaAs.ESPS-NIS 2003, Fifth International Workshop on Epitaxial Semiconductors on Patterned Substrates and Novel Index Surfaces, Stuttgart, Octobre 2003 Vervisch W., Ventura L., Pichaud B., Ducreux G., Lanois F., Lhorte A.- Kinetic reaction of the formation of the platinum related complex at the origin of the p-type doping effect in silicon.Conference GADEST 2003, Berlin, Allemagne, September 21-26 2003 Escoubas L., Loli M., Simon J., Giovannini H., Flory F., Lemarquis F., Enoch S., Berginc G., Design and fabrication of biperiodic AR gratings for the infrared.- Advances in Optical Interference Coatings France SPIE 5250 (2003) Simon J.J., Torchio P., Escoubas L., Les Polymères: l’Avenir du Photovoltaïque ? 3ème Salon “Matériaux Polymères Innovants et Technologies Associées” Ecole Nationale Supérieure des Arts et Métiers (ENSAM), Aix-en-Provence France 12 juin 2003 2004 Artigue O., Enguent J.P., Tételin C.- Digital demodulator at low sampling frequency for contactless smart card transceiver.- IEEE 2004 Sarnoff Symposium 2004, Princeton, New Jersey, USA, 26-27 April 2004 Autran J.L., Aubert M., Tintori O., Munteanu D., Decarre E.- An analytical subthreshold current model for ballistic double-gate MOSFETs.- NSTI Nanotechnology Conference and Trade Show, Boston, USA, 7-11 March 2004 Autran J.L., Aubert M., Tintori O., Munteanu D., Decarre E.- An analytical subthreshold current model for ballistic double-gate MOSFETs.- MSM 2004, 7th International Conference on Modeling and Simulation of Microsystems, Boston, USA, 7-11 March 2004 Autran J.L., Decarre E., Munteanu D., Bescond M., Houssa M.- A simulation analysis of FIBL in decananometer Double-Gate MOSFETs with high-κ gate dielectrics.- 5th Symposium SiO2, Advanced Dielectrics and Related Devices, Chamonix, France, 21-23 juin 2004 Autran J.L., Munteanu D., Tintori O., Harrison S., Decarre E., Skotnicki T.- Quantum-mechanical analytical modeling of threshold voltage in long-channel double-gate MOSFET with symmetric and asymmetric gates.- NSTI Nanotechnology Conference and Trade Show, Boston, USA, 7-11 March 2004 Autran J.L., Munteanu D., Tintori O., Harrison S., Decarre E., Skotnicki T.- Quantum-mechanical analytical modeling of threshold voltage in long-xhannel double-gate MOSFET with symmetric and asymmetric gates.- MSM 2004, 7th International Conference on Modeling and Simulation of Microsystems, Boston, USA, 7-11 March 2004 Aziza H., Portal J.M., Née D.- EEPROM threshold current extraction : silicon validation.- European Test Symposium, France, 2004 Barthelemy H., Meillère S., Bourdel S.- Single ended rail-to-rail CMOS OTA based variablefrequency ring-oscillator.- ISCAS '04, International Symposium on Circuits and Systems, Vancouver, Canada, 23-26 May 2004 Bergeon N., Trivedi R., Billia B., Echebarria B., Karma A., Liu S., Weiss C., Mangelinck N.- Realtime in situ characterisation of interface dynamics in microstructure formation during 3Ddirectional solidification of transparent alloys.- COSPAR, Paris, 19-23 juillet 2004 Bergman C., Chatain D., Clugnet G., Dubois J.M., Gas P., Girardeaux C., Perrin C, Rivier. N.Comparative study of the wettability by liquid Pb of the surfaces of decagonal Al13Co4 and cubic AlCo thin films.- HTC 2004, High Temperature Capillarity, San Remo, Italie, avril 2004 PRODUCTIONS 239 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Bergman C., Clugnet G., Gas P., Girardeaux C., Perrin C., Chatain D., Dubois J.M., Rivier N.Wettability by liquid Pb of the surfaces of decagonal Al13Co4 and cubic AlCo thin films.- 3ème Colloque Annuel du Groupe Français de Rhéologie, Mulhouse, 13-15 Octobre 2004 Bernardini S., Masson P., Portal J.M., Gallière J.M., Renovell M.- Impact of gate oxide reduction failure on analog application : example of the current mirror.- LATW’04, 5th Latin-American Test Workshop, Cartagena, Colombia, 8-10 March 2004 Bernardini S., Portal J.M., Masson P.- A tunneling model for gate oxide failure in deep sub-micron technology.- DATE'04, Design, Automation and Test in Europe Conference and Exhibition, 2004 Bescond M., Autran J.L., Cavassilas N., Munteanu D., Lannoo M.- Treatment of point defects in nanowire MOSFETs using the nonequilibrium Green's function formalism.- IWCE10, 10th IEEE International Workshop on Computational Electronics, Purdue University, Indiana, USA, 24-27 octobre 2004 Bescond M., Autran J.L., Munteanu D., Cavassilas N., Lannoo M.- Theoretical influence of discrete oxide charge on MOSFET operation.- 5th Symposium SiO2, Advanced Dielectrics and Related Devices, Chamonix, France, 21-23 juin 2004 Bescond M., Néhari K., Autran J.L., Cavassilas N., Munteanu D., Lannoo M.- 3D quantum modeling and simulation of multi-gate nanowire MOSFETs.- IEDM 2004, 50th IEEE International Electron Device Meeting, San Francisco, Etats-Unis, 13-15 décembre 2004 Beszeda I, Beke D.L. Gontier-Moya E.G., Kaganovskii Yu. S., Ianetz D.- Dewetting of continuous metallic films.- DIMAT 2004, 6th International Conference on Diffusion In Materials, Cracovie, 1823 July 2004 Billia B., Gandin Ch-A., Zimmermann G., Browne D., Dupouy M-D.- Columnar-equiaxed transition in solidification processing : the ESA-MAP CETSOL project.- 2nd International Symposium on Physical Sciences in Space held jointly with Spacebound 2004, Toronto, USA, 23 - 27 May 2004 Billia B., Nguyen Thi H., Reinhart G., Dabo Y., Zhou B., Liu Q., Lyubimova T.P., Roux B., Lan C.W.Tailoring of dendritic microstructure in solidification processing by crucible vibration / rotation.2nd International Symposium on Physical Sciences in Space held jointly with Spacebound 2004, Toronto, USA, 23 - 27 May 2004 Bouquet V., Canet P., Lalande F., Bouchakour R., Mirabel J.M.- Non volatile memory cell design : coupling ratio impact on tunnel oxide reliability.- 5th Symposium SiO2 and Advanced Dielectrics, Chamonix, France, 21-23 June 2004 Bourdel. S., Pannier. P., Barthelemy H., Dehaese N.- Low cost solutions for 802.15.4 RF architectures.- 8th IEEE International Symposium on Spread Spectrum Techniques and Applications, 30 August-2 September 2004 Canet P., J. Razafindramora, R. Laffont, R. Bouchakour, F. Lalande.- Amélioration de la fiabilité des mémoires non-volatiles par programmation optimisée.- 2èmes Journées du RTP Fiabilité, Carry Le Rouet, France, 15-16 mars 2004 Canet P., Lalande F., Razafindramora J., Bouquet V., Postel-Pellerin J., Bouchakour R., Mirabel J.M.- Integrated reliability in EEPROM nonvolatile memory cell design.- IEEE Non Volatile Semiconductor Memory Workshop, Orlando, Florida, USA, 15-18 November 2004 Canet P., Razafindramora J., Laffont R., Bouchakour R., Lalande F.- Amélioration de la fiabilité des mémoires non volatiles par programmation optimisée.- Journée du RTP Fiabilité, Carry le Rouet, France, 15-16 Mars 2004 Castellani-Coulié K., Munteanu D., Ferlet-Cavrois V., Autran J.L.- Simulation analysis of the bipolar amplification in fully-depleted SOI technologies under heavy-ion irradiations.- RADECS’2004, European Workshop on Radiation and its Effects on Components and Systems, Madrid, Espagne, 22-24 septembre 2004 240 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Castellani-Coulié K., Sagnes B., Saigné F., Palau J.M., Autran J.L., Calvet M.C.- Simulation studies of the parasitic structures involved in the SEU mechanisms in SRAMs.- RADECS’2004, European Workshop on Radiation and its Effects on Components and Systems, Madrid, Espagne, 22-24 septembre 2004 Chaillan F., Courmontagne P.- Amélioration par utilisation du filtrage adapté stochastique de la détection de sillages sur des images SAR.- JASM'04, Journées d'Acoustique Sous-Marine, Brest, France, 19-20 octobre 2004 Chevallier V., Castagnos A-M., Madigou V., Muller Ch.- Élaboration par lithiation/exfoliation et caractérisation de nanofeuillets de Bi3.25La0.75Ti3O12.- JMC, 9èmes Journées de la Matière Condensée, Nancy, France, août 2004 Condorelli G.G., Anastasi G., Bedoya C., Favazza M., Baeri A., Lo Nigro R., Menou N., Muller Ch., Lisoni J., Wouters D.J., Fragala I.L.- MOCVD of SBT from fluorine containing precursor system : microstructure optimization and fluorine elimination.- EMRS 2004, European Materials Research Society, Strasbourg, France, mai 2004 Coronel P., Harrison S., Cerutti R., Monfray S., Skotnicki T.- Higly performant Double-Gate MOSFET realized with SON process. How do we address the design and process for the GAA SON challenges.- ICICDT 04, International Conference on Integrated Circuit Design and Technology, Austin, USA, 17-20 May 2004 Coronel P., Harrison S., Cerutti R., Monfray S., Skotnicki T.- 3D integration of ultimate devices thanks to SiGe.- ECS 04, 206th Meeting of the Electrochemical Society, Honolulu, USA, 3-8 October 2004 Costes M., Goiran M., Broto J.-M., Millet P., Ghorayeb A. M. et Stepanov A.- Etude magnétique de eta-Na1.286V2O5 sous champ magnétique élevé.- Réunion du GDR Nouveaux États Électroniques des Matériaux (NEEM), Aspet, France, 29 juin - 2 juillet 2004 Cuinet X., Bernardini S., Masson P., Raymond L.- Simulation of nanometric roughness on a MOS capacitance.- 5th Symposium SiO2 and Advanced Dielectrics, Chamonix, France, 21-23 June 2004 De Jaeger R., Houssa M., A. Satta, S. Kubicek, P. Verheyen, J. Van Steenbergen, J. Croon, B. Kaczer, S. Van Elshocht, A. Delabie, E. Kunnen, E. Sleeeckx, I. Teerlinck, R. Lindsay, T. Schram, T. Chiarella1, R. Degraeve, T. Conard, J. Poortmans, G. Winderickx, W. Boullart, M. Schaekers, P.W. Mertens, M. Caymax, W. Vandervorst, E. Van Moorhem, S. Biesemans, K. De Meyer, L. Ragnarsson, S. Lee, G. Kota, G. Raskin, P. Mijlemans, Autran J.L., V. Afanas’ev, A. Stesmans, M. Meuris, M. Heyns.- Ge deep submicron pFETs with etched TaN metal gate on a high-k dielectric, fabricated in a 200 mm silicon prototyping line.- ESSDERC’2004, 34th European Solid State Device Research Conference, Leuven, Belgique, 21-23 septembre 2004 Dehaese N., Bourdel S.- System modeling for 802.15.4 RF architectures.- ICM 2004, International Conference on Microelectronics, 6-8 December 2004 Delamare R., M. Gillet, E. Gillet.- Structure and electrical properties of tungsten oxide nanorods.Seeing at the Nanoscale II, Grenoble, France, 13-15 octobre 2004 Deleruyelle D., Le Royer C., De Salvo B., Le Carval G., Gely M., Baro T. n, Autran J.L., Deleonibus S.- Integration of Si-nanocrystals for innovative multiple-tunnel-junction memory architectures.2004 NanoElectronics Materials Conference, Grenoble, France, 2-4 mars 2004 Denais M., Bravaix A., Huard V., Parthasarathy C., Ribes G., Perrier F., Revil N.- New hole trapping characterization during NBTI in 65nm node technology with distinct nitridation processing.IRW’04, IEEE Integrated Reliability Workshop, 2004 Denais M., Bravaix A., Huard V., Parthasarathy C., Ribes G., Perrier F., Rey-Tauriac Y., Revil N.“On-the-fly” characterization of NBTI in ultra-thin gate-oxide PMOSFET’s.- IEDM'04, International Electron Device Meeting, 2004 PRODUCTIONS 241 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Denais M., Huard V., Parthasarathy C., Ribes G., Perrier F., Revil N., Bravaix A.- New methodology of NBTI characterization in order to reduce recovery effect.- ESSDERC’04, European Solid State Device Research Conference, Leuven, Belgique, 2004 Denais M., Huard V., Parthasarathy C., Ribes G., Perrier F., Revil N., Bravaix A.- Oxide field dependence of interface trap generation during negative bias temperature instability in PMOS.IEEE Integrated Reliability Workshop, 2004 Desbief S., Patrone L., Goguenheim D., Vuillaume D.- Formation de nano-domaines dans les monocouches mixtes d’alkylsilanes auto-assemblées sur silicium : application à l’électronique moléculaire.- Journées Electronique des Dispositifs Ultimes et Innovants, L2MP/Club EEA, Fuveau, ST University, France, 25-26 mars 2004 Desbief S., Patrone L., Goguenheim D., Vuillaume D.- Formation of nano-domains in alkyltrichlorosilane self-assembled monolayers deposited on silicon : application to molecular electronics.- Ultimate Lithography and Nanodevice Engineering, Phantoms Foundation, La Londe, France, 13-16 juin 2004 Desbief S., Patrone L., Goguenheim D., Vuillaume D.- Formation de nano-domaines dans les monocouches mixtes d’alkylsilanes auto-assemblées sur silicium : application à l’électronique moléculaire.- Elecmol, Premières Rencontres Grenobloises d’Electronique Moléculaire, IMAG, Université de Grenoble-Saint Martin d'Hères, France, 16-17 septembre 2004 Drillet P., Pazsko F., Mangelinck D., Gas P., Clugnet G., Bergman C., Dulcy C. Vaughan G.- Real time synchrotron analysis of the initial stages of galvaannealing in Al containing Zn baths.Galvatech'04, 6th International Conference on Zinc and Zinc Alloy Coated Steel Sheet, USA, 2004 Dupuis V., Peli J-F., Moya F.- Effect of water on three resin-modified glass ionomer cements.- FDI Annual World Dental Congress, New Delhi, 10-13 September 2004 Egels M., Gaubert J., Pannier P., Bas G.- A 2.4 GHz RF CMOS receiver for low cost digital wireless communication for 802.15.4 standard.- ICM2004, 16th International Conference on Microelectronics, Tunis, Tunisie, 6-8 décembre 2004 Fabre A. , H. Barthélemy, B. Godara.- A low-power adjustable band-pass filter using only two controlled conveyors.- OPTIM 2004, Brasov, Roumania, 20-21 May 2004 Forli L., Portal J.M., Nee D., Borot B.- Test chip and infrastructure IP solutions to improve the back-end process during all phases from a new technology development to manufacturing.- Fifth IEEE International Conference on Devices, Circuits and Systems, Caracas, Venezuela, 3-5 November 2004 Fraschini C. , P. Courmontagne, C. Jauffret.- Amélioration du pouvoir discriminateur du SONAR actif en milieu bruité par maximisation du rapport signal à bruit.- JASM'04, Journées d'Acoustique Sous-Marine, Brest, France, 19-20 octobre 2004 Garros X., Leroux C., Reimbold G., Mitard J., Guillaumot B., Martin F., Autran J.L.- Reliability assessment of ultra-thin HfO2 oxides with TiN gate and polysilicon N+ gate.- IRPS 2004, IEEE International Reliability Physics Symposium, Phoenix, Arizona, USA, 25-29 avril 2004 Gas P., Labar J., D’Heurle F.M., Clugnet G., Barna P., Bergman C.- Solid state reaction in Al/Co multilayers : formation of embedded Co nanoparticles and impact on phase sequence.- TOFA 2004, Thermodynamics of Alloys Conference, Wien, Autriche, Septembre 2004 Gillet M., Gillet E.- Preparation and properties of tungsten oxide nanorods.- Cost D 15, La Colle sur Loup, 20-23 octobre 2004 Gillet M., R. Delamare, E. Gillet.- Growth, structure and electrical properties of tungsten oxide nanorods.- ISSPIC 12, Nanjing, Chine, 6-10 September 2004 Gillet M., R. Delamare, E. Gillet.- Formation and structure of tungsten oxide nanorods.- Ultimate lithography and Nanodevice Engineering Conference, Agelonde, 13-16 Juin 2004 242 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Goguenheim D., Bravaix A., Gomri S., Moragues J.M., Monserie C., Legrand N., Boivin P.Méthodologie optimisée de détection du Wafer Charging basée sur des injections de porteurs chauds dans les technologies CMOS avancées.- Actes des 2èmes journées du RTP Fiabilité, Carry le Rouet, 15-16 mars 2004 Goguenheim D., Bravaix A., Gomri S., Moragues J.M., Monserie C., Legrand N., Boivin P.Improved methodology based on hot carriers injections to detect wafer charging damage in advanced CMOS technologies.- MIEL'04, 24th International Conference on Microelectronics, Nis, Serbie, 16-19 May 2004 Gomri S., J.L. Seguin, J. Guérin, K. Aguir.- Modelling of adsorption-desorption noise in gas sensors using Langmuir and Wolkenstein models for adsorption.- ICM2004, 16th International Conference on Microelectronics, Tunis, Tunisie, 6-8 décembre 2004 Gomri S., J.L. Seguin, K. Aguir.- Modélisation du bruit d’adsorption-desorption dans les microcapteurs de gaz.- MADICA 2004, Tunis, Tunisie, 29 novembre - 1er décembre 2004 Goux L., Menou N., Lisoni J., Schwitters M., Paraschiv V., Maes D., Zhen X., Kaczer B., Haspeslagh L., Wouters D.J., Muller Ch., Caputa C., Zambrano R.- Ferroelectric properties and reliability of sidewall SBT in integrated 3D FeCAPs.- ISIF, 16th International Symposium on Integrated Ferroelectrics, Gyeongju, Korea, avril 2004 Harrison S., Coronel P., Leverd F., Cerutti R., Palla R., Delille D., Borel S., Pantel R., Dutartre D., Morand Y., Samson M.P., Lenoble D., Talbot A., Boeuf F., Sanquer M., Jehl X., Bustos J., Brut H., Cros A., Munteanu D., Autran J.L., Skotnicki T.- High performance SON (Silicon-On-Nothing) double gate MOSFET with perfect electrostatic integrity for nanoscale regime.- SNW’2004, IEEE Silicon Nanoelectronic Workshop, Hawaii, USA, 13-14 June 2004 Harrison S., Cros A., Coronel P., Leverd F., Beverina A., Cerutti R., Wacquez R., Bustos J., Delille D., Tavel B., Barge D., Bienacel J., Samson MP., Martin F., Maitrejean S., Munteanu D., Autran J.L., Skotnicki T.- Poly-Gate REplacement Through Contact Hole (PRETCH): A new method for high-k/metal gate and multi-oxide implementation on chip.- IEDM 2004, 50th IEEE International Electron Device Meeting, San Francisco, Etats-Unis, 13-15 décembre 2004 Harrison S., Munteanu D., Autran J.L., Cros A., Cerutti R., Skotnicki T.- Electrical characterization and modeling of high-performance SON DG MOSFETs.- ESSDERC’2004, 34th European Solid State Device Research Conference, Leuven, Belgique, 21-23 septembre 2004 Hayn R., Daré A.-M., Richard J.-L.- Orbital and spin exchange in LiNiO2.- DPG-Frühjahrstagung, Regensburg, Deutschland, 8-12 March 2004 Hayn R., Sati P., Kuzian R., Stepanov A.- Calcul ab-initio de l’anisotropie magnétique dans les oxides.- Réunion du GdR « NEEM », Aspet, France, 29 juin - 2 juillet 2004 Houssa M., De Gendt S., Autran J.L., Groeseneken G., Heyns M.M.- Detrimental aspect of hydrogen on negative bias temperature instabilities in HfO2-based pMOSFETs.- 2004 Symposium on VLSI Technology, Honolulu, Hawaii, USA, 15-17 June 2004 Houssa M., De Jaeger B., Delabie A., Van Elshocht S., Afanas’ev V.V., Autran J.L., Stesmans A., Meuris M., Heyns M.M.- Electrical characteristics of Ge/GeOx/HfO2/TaN structures.- 5th Symposium SiO2, Advanced Dielectrics and Related Devices, Chamonix, France, 21-23 juin 2004 Kassner K., C. Misbah, J-M. Debierre.- Nonlocal interface equations in crystal growth.- 14th International Conference on Crystal Growth and the 12th International Conference on Vapor Growth and Epitaxy, Grenoble, août 2004 Koudia M., Abel M., Maurel C., Bocquet F., Porte L.- Etude de la croissance de Cu/Ag(111).- Forum des Microscopies à Sondes Locales, Ax les thermes, mars 2004 Kyung B., Hankevych V., Dare A.-M., Tremblay A.-M.S.- The normal state of electron-doped hightemperature superconductors.- APS March Meeting 2004, Montreal, Canada, session D12, 22-26 mars 2004 PRODUCTIONS 243 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Kyung B., Hankevych V., Senechal D., Dare A.-M., Tremblay A.-M.S.- Pseudogap in electron-doped cuprates: theory and experiments.- Colloquium Spectroscopies in Novel Superconductors, Sitges, Spain, July 2004 Labbe A., Perez A., Portal J.-M.- Efficient hardware implementation of a CRYPTO-MEMORY based on AES algorithm and SRAM architecture.- ISCAS '04, International Symposium on Circuits and Systems, Vancouver, Canada, 23-26 May 2004 Labidi A., C. Jacolin, M. bendahan, M. Ferchichi, A. Abdelghani, M. Maaref, K. Aguir.- Capteurs de gaz à base d’oxyde de tungstène pour la détection des vapeurs organiques.- MADICA 2004, Tunis, Tunisie, 29 novembre - 1er décembre 2004 Lauque P., Bendahan M., Seguin J.L., Knauth P.- Application of CuBr ion conductor thin-films for ammonia detection.- MRS Fall Meeting 2004 – Solid State Ionics, Boston, USA, 29 November - 3 December 2004 Lauque P., M. Bendahan, J.L. Seguin, K. An Ngo, K. Aguir, P. Knauth.- Highly selective room temperature NH3 gas microsensor using an ionic conductor film.- Eurosensor XVIII, Rome, Italie, 13-15 September 2004 Le Quang N. , Goaer G., Coustier F., Gauthier M., Duffar T., Delannoy Y., Mangelinck N., Barvinschi F.- Thickness reduction of large size high efficiency screen-printed multicrystalline silicon solar cells – possibilities and limitations for industrial production.- 19th European Photovoltaic Solar Energy Conference and Exhibition, Paris, France, Paris, 7-11 juin 2004 Leroux Ch., Saitzek S., Villain S. Gavarri J.-R., Klimczak M. Kopia A. Kusinski J.- Nano-powders and thin film of Cu-doped CeO2 for gas sensors.- EMC 2004, 13th European Microscopy Congress, Antwerp, Belgium, 22-27 August 2004 Lopez L., Nee D., Masson P., Bouchakour R.- A low cost test vehicle for embedded DRAM capacitor : Investigation and monitoring of the process.- EEE International Reliability Physics Symposium, USA, 25-29 April 2004 Lyubimov D.V., Lyubimova T.P., Tcherepanov A., Roux B., Billia B., Nguyen-Thi H.- Vibration influence on morphological instability of a solidification front.- 2nd International Symposium on Physical Sciences in Space held jointly with Spacebound 2004, Toronto, USA, 23 - 27 May 2004 Mangelinck D. , Gas P., Bergman C.- Réactivité interfaciale microélectroniques.- Colloque Microdiffraction, Orsay, 28-29 juin 2004 dans les composants Mangelinck-Noël N., Nguyen-Thi H., Reinhart G., Schenk T., Cristiglio, Dupouy M.D., Gastaldi J., Billia B., Härtwig J., Baruchel J.- In situ analysis of equiaxed growth of aluminium-nickel alloys by x-ray radiography at ESRF.- XTOP 2004, 7th Biennial Conference on High Resolution X–Ray Diffraction and Imaging, Prague, République Tchèque, 7–10 September 2004 Maurel C., Coratger R., Pechou R., Beauvillain J.- Caractérisation électrique de nanojonctions Au/MoS2 par émission de photons induite par STM sous ultravide.- Forum des Microscopies à Sondes Locales, Ax les thermes, mars 2004 Menou N., Baturin I., Muller Ch., Shur V.Ya., Hodeau J-L.- High resolution X-ray diffraction study of electric field induced fatigue in PZT-based FeCAPs.- EMRS 2004, European Materials Research Society, Strasbourg, France, mai 2004 Menou N., Goux L., Lisoni J., Schwitters M., Turquat Ch., Madigou V., Hodeau J-L., Muller Ch., Wouters D.J. Microstructural characterizations of side walls in SBT-based 3D capacitors.- ISIF, 16th International Symposium on Integrated Ferroelectrics, Gyeongju, Korea, avril 2004 Menou N., Madigou V., Turquat Ch., Muller Ch., Goux L., Lisoni J., Schwitters M., Wouters D.J.Microstructural approach of side walls in 3D SBT-based capacitors.- Advanced Research Workshop on Dimensionality Effects and Non-linearity in Ferroics, Lviv, Ukraine, octobre 2004 244 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Menou N., Muller Ch., Goux L., Wouters D.J., Barrett R., Save D.- Apport du rayonnement synchrotron a l'analyse de reseaux de condensateurs ferroelectriques integres JCF, Journées Couches Ferroélectriques 2004, Besançon, France, novembre 2004 Méolans J. G., I. A. Graur, P. Perrier, D. Zeitoun, K. Aguir, M. Bendahan, J-L. Seguin.- Transferts dans un microsysème : application à la détection des gaz.- Journée Microfluidique et Microsystèmes Fluidiques du CNRS, Toulouse, 13 décembre 2004 Milesi F., F. Torregrosa, H. Faïk, C. Laviron, M. Hernandez, J. Venturini.- Ultra shallow P+/N junctions using plasma immersion ion implantation and laser annealing for sub-0.1µm CMOS devices.- 15th International Conference on Ion Implantation Tevhnology, 25-29 October 2004 Molas G., Deleruyelle D., De Salvo B., Ghibaudo G., Gely M., Jacob S., Lafond D., Deleonibus S.Impact of few electron phenomena on floating-gate memory reliability.- IEDM, IEEE International Electron Devices Meeting, USA, 13-15 December 2004 Moya G , Zarbout K.,Si Ahmed A., Kansy J.- Relation between the charge trapping characteristics and positron lifetime spectra in alumina.- CSC’5, 5th International Conference on Electric Charges in Non-Conductive Materials, Sfax, Tunisie, 22-26 November 2004 Munteanu D., Autran J.L., Bescond M., Houssa M.- Impact of high-k gate dielectrics on decananometer double-gate MOSFETs: gate-fringing field and parasitic charge effects.- ULIS 2004, 5th European workshop on Ultimate Integration of Silicon, Leuven, Belgique, 11-12 mars 2004 Munteanu D., Autran J.L., Harrison S.- Quantum short-channel compact model for the threshold voltage in double-gate MOSFETs with high-κ gate dielectrics.- 5th Symposium SiO2, Advanced Dielectrics and Related Devices, Chamonix, France, 21-23 juin 2004 Nemouchi F., Mangelinck D., Gas P., Clugnet G.- Cinétique de formation des siliciures et germaniures de nickel pour les contacts CMOS.- Journées Nationales du Réseau Doctoral de Microélectronique, Marseille, 2-4 mai 2004 Nemouchi F., Mangelinck D., Putero-Vuaroqueaux M., Gas P., Bergman C.- Thermodynamic and kinetic analysis of the formation of nanometric Ni Silicide thin films on Si substrate.- TOFA 2004, Thermodynamics of Alloys Conference, Wien, Autriche, Septembre 2004 Nemouchi F., Mangelinck D.., Bergman C., Gas P., Smith U., Zhang S.L.- Differential scanning calorimetry analysis of the formation of nanometric Ni silicide thin films on Si substrate.- 6th International Conference on Diffusion in Materials (DIMAT), Cracovie, Pologne, 18-23 July 2004 Nguyen Thi H., Zhou B., Reinhart G., Billia B., Liu Q., Lan C.W., Lyubimova T.P., Roux B.Influence of forced convection on columnar microstructure during directional solidification of Al -Ni alloys.- 4th International Conference on Solidification and Gravity, Miskolc, Hongrie, 6 - 10 September 2004 Nguyen-Thi H, Mangelinck-Noël N., Reinhart G., Schenk T., Dupouy M.D., Gastaldi J., Billia B., Härtwig J., Baruchel J.- In situ and real time investigation of directional solidification of Al -Ni alloys by synchrotron radiography.- 4th International Conference on Solidification and Gravity, Miskolc, Hongrie, 6 - 10 September 2004 Nguyen-Thi H., Reinhart G., Zhou,B., Billia B., Lan C.W., Liu Q., Lyubimova T.P., Roux B.Tailoring of dendritic microstructure in solidification processing by crucible vibration.- ICCG14, 14th International Conference on Crystal Growth, Grenoble, France, 9-13 août 2004 Nony L., Gnecco E., Baratoff A., Alkauskas A., Bennewitz R., Pfeiffer O., Maier S., Meyer E. and Gerber C.- Confinement of molecular assemblies in atomically well-defined nanostructures on an insulating surface.- Réunion annuelle de la Société Suisse de Physique, Neuchâtel, Suisse, mars 2004 Nony L., Gnecco E., Baratoff A., Alkauskas A., Bennewitz R., Pfeiffer O., Maier S., Meyer E. and Gerber C.- Ordered molecular assemblies confined on a nanostructured insulator : a UHV-AFM investigation.- NANO 8, 8th International Conference on Nanometer Scale Science and Technology, Venise, Italie, juillet 2004 PRODUCTIONS 245 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Nony L., Schaer D., Baratoff A., Wetzel A., Bennewitz R., Pfeiffer O. and Meyer E.- A nc-AFM simulator with PLL-controlled frequency detection and excittation.- Réunion annuelle de la Société Suisse de Physique, Neuchâtel, Suisse, mars 2004 Nony L., Schaer D., Baratoff A., Wetzel A., Bennewitz R., Pfeiffer O.and Meyer E.- Improved virtual nc-AFM with PLL-controlled frequency detection and excitation.- 7th International nc-AFM Conference, Seattle, USA, septembre 2004 Nony L., Gnecco E., Baratoff A., Bennewitz R., Pfeiffer O., Maier S. , Meyer E.- Assemblages moléculaires confinés sur une surface isolante nanostructurée révélés par non-contact AFM.Forum des Microscopies à Sondes Locales, La Baume les Aix, France, mars 2004 Nony L., Schär D., Wetzel A., Pfeiffer O., Baratoff A., Bennewitz R., Meyer E.- Un AFM dynamique virtuel avec démodulation de fréquence et contrôle de la fréquence d’excitation par boucle à verrouillage de phase.- Forum des Microscopies à Sondes Locales, La Baume les Aix, France, mars 2004 Nyéki J., Erdélyi G., Lexcellent C., Bernardini J. and Beke D.L.- 63 Ni grain boundary diffusion in NiTi shape memory alloys.- 6th International Conference on Diffusion in Materials (DIMAT), Cracovie, Pologne, 18-23 July 2004 Nyéki J., Girardeaux C, Eredélyi Z., Csik A., Daroczi L., Langer G., Beke D.L., Rolland A., Bernardini J. et. Erdélyi G.- Sb diffusion and segregation in amorphous Si thin films.- 6th International Conference on Diffusion in Materials (DIMAT), Cracovie, Pologne, 18-23 July 2004 Oison V., Willaime F. et Chu-Chun Fu.- Etude ab initio de la lacune et des complexes lacunecarbone dans le fer alpha.- Réunion du GDR-DFT, La-Londe-Les-Maures, 2004 Paret J.- Simulation numérique 3D de l'instabilité de Grinfeld.- Rencontre de Physique Statistique, Paris, 29-30 janvier 2004 Paret J.- Simulation numérique 3D de l'instabilité de Grinfeld sous contrainte biaxiale par la méthode du champ de phase.- Rencontre des Simulateurs et Théoriciens de Marseille, Marseille, 29 septembre 2004 Perniola L., Bernardini S., Iannaccone G., De Salvo B., Ghibaudo G., Masson P., Gerardi C.Electrostatic effect of localised charge in dual bit memory cells with discrete traps.- ESSDERC, 34th European Solid-State Device Research Conference, Leuven, Belgium, 21-23 September 2004 Portal J.M., Saillet B., Nee D.- Flash memory cell diagnosis : high level model.- Non-Volatile Memory Technology Symposium, USA, 15-17 November 2004 Portal J.M., Saillet B., Née D.- Flash memory cell model developed for silicon debug purpose.- IEEE Silicon Debug & Diagnosis Workshop, France, 2004 Portal J.M., Saillet B., Née D.- Flash memory cell : threshold voltage sensibility to geometry.- IEEE Conference on Design of Circuits and Integrated Systems, France, 2004 Ragad H., Bouchakour R., Lalande F., Portal J.M., Mirabel J.M.- A pseudo 2D analysis of the velocity saturation region for flash cell modelling.- Non-Volatile Memory Technology Symposium, USA, 15-17 November 2004 Ramsvik T., Scheybal A., Bertschinger R., Putero-Vuaroqueaux M., Nolting F., Jung T.A.- Magnetic interaction between a ferromagnetic substrate and adsorbed manganese porphyrin molecules.ICMM 2004, International Conference on Molecule-based Magnets 2004, International Congress Center, Tsukuba (EPOCHAL TSUKUBA), Japon, 4-8 octobre 2004 Ranica R., Villaret A., Fenouillet-Beranger C., Malinge P., Mazoyer P., Masson P., Delille D., Charbuillet C., Candelier P., Skotnicki T.- A capacitor-less DRAM cell on 75nm gate length, 16nm thin fully depleted SOI device for high density embedded memories.- IEDM, IEEE International Electron Devices Meeting, USA, 13-15 December 2004 246 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Ranica R., Villaret A., Malinge P., Mazoyer P., Lenoble D., Candelier P., Jacquet F., Masson P., Bouchakour R., Fournel R., Schoellkopf J.P., Skotnicki T.- A one transistor cell on bulk substrate (1T-Bulk) for low-cost and high density eDRAM.- VLSI Technology Symposium, Hawai, 15-17 June 2004 Ranica R., Villaret A., Mazoyer P., Monfray S., Chanemougame D., Masson P., Dray C., Waltz P., Bez R., Skotnicki T.- A new 40nm SONos structure based on backside trapping for nanoscale memories.- VLSI Technology Symposium, Hawai, 15-17 June 2004 Razafindramora J., Canet P., Lalande F., Bouchakour R., Boivin P., Mirabel J.M.- Study of stressed oxides degradation : application to the prediction of a non-volatile memory cell endurance.- 5th Symposium SiO2 and Advanced Dielectrics, Chamonix, France, 21-23 June 2004 Rideau D., Dray A., Gilibert F., Agut F., Giguerre L., Gouget G., Minondo M., Juge A.Characterization & modeling of low electric field gate-induced-drain-leakage [MOSFET].- ICMTS'04, International Conference on Microelectronic Test Structures, Japon, 22-25 March 2004 Rousseau A., Castagnos A-M., Chevallier V., Guilloux-Viry M., Muller Ch.- Influence d'une couche de SrBi2Nb2O9 sur la croissance de couches de SrBi2Ta2O9 déposées par ablation laser.- JMC9, 9èmes Journées de la Matière Condensée, Nancy, France, août 2004 Saitzek S., Guirleo G., Guinneton F., Sauques L., Villain S., Aguir K., Leroux C., Gavarri J-R.Structural and optical properties of thermochromic bilayers Ceo2-Vo2.- AMT'2004, Advanced Materials & Technologies, XVIIth Physical Metallurgy and Materials Science Conference, LodzArtorowek, Poland, June 2004 Sati P., Hayn R., Kuzian R., Deparis C., Morhain C., Regnier S., Stepanov A.- Etude par la Résonance Paramagnétique Electronique de Zn1-xCoxO.- Réunion du GdR «SESAME », Strasbourg, France, 22-23 novembre 2004 Schenk T., Klein H., Gastaldi J., Härtwig J., Nguyen-Thi H., Reinhart G., Mangelinck-Noël N., Baruchel J., Billia B.- Formation of pores during the in-situ solidification and annealing of a Al-PdMn quasicrystal: first observation.- XTOP 2004, 7th Biennial Conference on High Resolution X–Ray Diffraction and Imaging, Prague, République Tchèque, 7–10 September 2004 Scheybal A., Bertschinger R., Nolting F., Jung T.A., Ramsvik T., Putero M.- Induced magnetic ordering in a molecular monolayer.- Laboratory for micro- and nanotechnology (LMN) scientific annual report, Paul Scherrer Institute, Villigen, Switzerland, 2004 Shur V. Ya., Baturin I., Kuznetsov D., Menou N., Muller Ch., Sternberg A.- Influence of irradiation on the switching behavior in PZT thin films.- ISFD, 8th International Symposium on Ferroic Domains, Tsukuba, Japan, août 2004 Si Ahmed A., Zarbout K., Kansy J., Goeuriot D., Moya G.- A study by P.A.S of the microstructural origin of the dielectric breakdown in alumina.- 9th International Conference on ElectroCeramics and their Applications, Cherbourg, France, 1-3 June 2004 Spitale E., Corso D., Crupi I., Nicotra G., Lombardo S., Deleruyelle D., Gely M., Buffe, N., De Salvo B., Gerardi C.- Effect of high-k materials in the control dielectric stack of nanocrystal memories.ESSDERC, 34th European Solid-State Device Research Conference, Leuven, Belgium, 21-23 September 2004 Sylla L., Hodroj A., Mangelinck-Noël N., Duffar T.- Measurement of silicon undercooling for better understanding of solidification phenomena during the elaboration of polycrystalline silicon.- 19th European Photovoltaic Solar Energy Conference and Exhibition, Paris, France, Paris, 7-11 juin 2004 Trapes C., Goguenheim D.- Ultrathin oxide reliability after combined constant voltage stress and substrate hot electron injection.- 5th Symposium SiO2 and Advanced Dielectrics, Chamonix, 2004 Trapes C., Goguenheim D.- Experimental extraction of degradation parameters after constant voltage stress and substrate hot electron injection on ultrathin oxides.- WODIM04, 13th Workshop on Dielectrics in Microelectronics, Cork, Ireland, 2004 PRODUCTIONS 247 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Trivedi R., Bergeon N., Billia B., Echebarria B., Karma A., Liu S., Mangelinck N., Weiss C.- In situ characterization of interface microstructure dynamics in 3D-directional solidification of model transparent alloys.- 2nd International Symposium on Physical Sciences in Space held jointly with Spacebound 2004, Toronto, USA, 23 - 27 May 2004 Trivedi R., Bergeon N., Billia B., Echebarria B., Karma A., Liu S., Weiss C.- Interfacemicrostructure formation in 3d-directional solidification of model transparent alloys.- ICCG14, 14th International Conference on Crystal Growth, Grenoble, France, 9-13 août 2004 Weiss C., Bergeon N., Mangelinck-Noel N., Billia B.- Control of the solid-liquid interface in directional solidification of transparent alloys and influence on microstructures.- 4th International Conference on Solidification and Gravity, Miskolc, Hongrie, 6 - 10 September 2004 Zaid L., Cheynet De Beaupre V., Rahajandraibe W., Sangiovanni A.- A fully differential 5V buffer for RF mixer output with current and linearity control.- 5th IEEE International Conference on Devices, Circuits and Systems, Caracas, Venezuela, 3-5 November 2004 Zaïdat K., Ouled-Khachroum T., Mangelinck-Noël N., Dupouy MD., Moreau R.- Effet d’un champ magnétique glissant sur la solidification dirigée d’un alliage Al-3,5% Ni affiné.- Minicolloque de la Société Française de Physique, Nancy, 30 août - 3 septembre 2004 Zaïdat K., Ouled-Khachroum T., Reinhart G., Mangelinck-Noël N., Dupouy MD., Moreau R.- Effect of travelling magnetic field on the directional solidification of refined Al-3.5 wt %Ni alloys.- 4th International Conference on Solidification and Gravity, Miskolc, Hongrie, 6 - 10 September 2004 Zaïdat K., Ouled-Khachroum T., Vian G., Garnier C., Mangelinck-Noël N., Dupouy MD., Moreau R.Directional solidification of refined Al-3.5 wt % Ni under natural convection and under a forced flow driven by a travelling magnetic field.- ICCG14, 14th International Conference on Crystal Growth, Grenoble, France, 9-13 août 2004 Zarbout K., Moya-Siesse D., Moya G.- Determination of the electron beam irradiated area by using a charging effect in oxide surfaces.- 5th Symposium on SiO2 Advanced Dielectrics & Related Devices, Chamonix, France, 21-23 June 2004 Zarbout K., Moya-Siesse D., Moya G., Bernardini J., Kallel A.- Methods for the determination of the SEM defocused electron beam area in insulators.- CSC’5, 5th International Conference on Electric Charges in Non-Conductive Materials, Sfax, Tunisie, 22-26 November 2004 Zhou B.H., Nguyen Thi H., Reinhart G., Dabo Y., Billia Liu Q., Lyubimova T.P., Roux B.- Directional solidification microstructures under natural and controlled convection conditions.- International Conference on Advanced Problems in Thermal Convection, Perm, Russie, 24-27 novembre 2004 Zimmerli L., Nony L., Gnecco E., Baratoff A., Alkauskas A., Bennewitz R., Pfeiffer O., Meyer E. and Gerber C.- Trapping and self-assembly of polar molecules in nanometer-sized pits on an insulator.7th International nc-AFM Conference, Seattle, USA, septembre 2004 Ardhaoui K., Ben Cherifa A., Rogez J., Jemal M.- Etude de la stabilité de britholites au lanthane fluorées.- 2eme Journées de la Chimie de l'Etat Solide – Soc Chim. Tunisiène, Mammamet, mars 2004 Ahoussou A., Rogez J., Kone A., Mathieu J.C.- Thermodynamique dans les verres à formateur mixte : système B2O3-SiO2-Alc2O, .- XXXèmes JEEP, Metz, avril 2004 Bichara C., Coulet M-V., Gaspard J.P., Raty J.Y., Johnson M.- Properties of molten Ge chalcogenides: ab initio molecular dynamics studies versus experiments Annual Meeting of the Japanese Physical Society , Février 2004 - University of Chiba - Japon Boa D., Hassam S., Rogez J., Kotchi K.P., Mathieu J.C.- Le système Fe-Sb.- Journées de la Société Ouest Africaine de Chimie, Abidjan novembre 2004 Boa D., Hassam S., Rogez J., Kotchi K.P., Mathieu J.C.- Etude thermodynamique du système ternaire Bi-Fe-Sb.- XXXèmes JEEP, Metz, avril 2004 248 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Bouchet D., Corinek S., Molins R., Thibault J.- Analyse de dislocations (ou défauts) intergranulaires par TEM-HREM, EDXS, EFTEM et ELNES: cas d'un joint de grains dans l'alumine dopée à Y.Journées J2IM (Grenoble-juin 2004) Bougerol C., Thibault J.- Etude par MET de semiconducteurs magnétiques dilués (Ga,Mn)N.JEELS-04 “De la Surface au Volume” Couzinié J.-P., Décamps B., Hardouin-Duparc O.B.M., Thibault J., Priester L.- Structures and defects of Sigma 9 grain boundaries in copper.- IIB 2004 (Belfast 25-30juillet 2004) Cugny-Hamma H., Rogez J., Hatem G.- Etude physico-chimique des catalyseurs ioniques fondus.Journées de la Fédération de la Chimie, Marseille, septembre 2004 Gailhanou H., Olives J., Amouric M., Perbost R., Rogez J., Van Miltenbourg J.C., BrunetF., AlbaSimionesco C., Blanc P., Gaucher E.- Thermodynamic and Structural Properties of Clay Minerals.International Congress of Applied Mineralogy), Aguas de Lindoia (Brésil), septembre 2004. Gailhanou H., Olives J., Amouric M., Rogez J., Van Miltenbourg J.C., BrunetF., Alba-Simionesco C., Blanc P., Gaucher E.- Nanostructures et propriétés thermodynamiques de minéraux argileux .Journée des Doctorants ANDRA, Paris, Juin 2004. Gailhanou H., Olives J., Amouric M., Rogez J., BrunetF., Alba-Simionesco C., Blanc P., Gaucher E.Nanostructures et propriétés thermodynamiques de minéraux argileux : illite, smectite et interstratifié illite-smectite, .- Réunion du Groupe Français des Argiles, Orléans, avril 2004. Hamma H., Rasmussen S.B., Eriksen K.M., Berg R. W., Fehrman R., Rogez J.- Thermal and conductometric investigations and phase diagram of the Rb2S2O7-RbHSO4 system.- CTEC: Calorimetry and Thermal Effects in Catalysis, Lyon, juillet 2004 Hamma H., Rasmussen S.B., Eriksen K.M., Fehrman R., Rogez J.- Etude physicochimique du système NaHSO4-KHSO4.- XXXèmes JEEP, Metz, avril 2004 Hidalgo P., Ottaviani L., Idrissi H., Lancin M., Pichaud B.- Structural characterisation of (11-20) 4H-SiC substrates by cathodoluminescence and X-ray topography.- Conference BIAMS 2004, Bats sur Mer, Juin 2004 Idrissi H., Lancin M., Douin J., Regula G., Pichaud B.- Dynamical study of dislocation and 4H-3C Transformation induced by stress in (11-20) 4H-SiC .- ISCREAM 2004, Bologne, Italie Idrissi H., Lancin M., Douin J., Regula G., Pichaud B., El bouayadi R., Roussel J.M.- Direct measurements of dynamic constants of dislocations introduced in (11-20) 4H-SiC.- Mat Res.Symposium Spring Meeting, San Francisco, May 2004 Labat S., Bocquet F., Bigault T., Roussel L., Mikaelian G., Alfonso C., Charai A., Thomas O. .- The early stages of stress development during epitaxial growth of Ag/Cu multilayers. Mechanical Properties of Nanostructured Materials and Nanocomposites Symposium, .- Mater. Res. Soc. Symposium Fall Meeting 2004, Boston, USA Leoni E., Binetti S., Pichaud B., Pizzini S.- Dislocation luminescence in plastically deformed silicon crystals: effect of dislocation intersection and oxygen decoration.- Conference BIAMS 2004, Bats sur Mer, Juin 2004 Leoni E., El Bouayadi R.,Martinelli L., Regula G., Ntsoenzok E., Pichaud B., Pizzini S.- Structural and optical characterisation of a dispersion of nanocavities in a crystalline silicon matrix.Conference BIAMS 2004, Bats sur Mer, Juin 2004 Palais O., Arcari A., Lemiti M., Martinuzzi S. .- Spatially resolved surface recombination velocity of crystalline silicon used for solar cells.- Proc. of 19th Conf EPVSEC, Paris, sous presse (2004) Priester L., Couzinié J.-P., Décamps B., Thibault J.- Interactions between dislocations and grain boundaries studied by TEM investigations.- Riso Int. Symp. on Materials Science : evolution and deformation microstructure in 3D. (Riso, Danemark, 6-10sept 04) PRODUCTIONS 249 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Rogez J.- Calorimétries de dissolution en bain acide et oxydes .- Séminaire de Thermodynamique Expérimentale à Haute température, Grenoble, octobre 2004. Rogez J.- Présentation Générale des Calorimétries Hautes Températures.- Séminaire de Thermodynamique Expérimentale à Haute température, Grenoble, octobre 2004. Roussel J.M., Bellon P. .- Long-lived transient vacancy distribution in multilayers.- DIMAT 2004, Diffusion in Materials, 18-23 July 2004, Krakow, Poland Texier M., Bonneville J., Proult A., Rabier J., Thilly L.- On the plastic deformation of AlPdMn quasicrystals near the brittle-to-ductile transition. .- NATO ARW, "Innovative Superhard Materials and Sustainable Coatings", Kiev (Ukraine), 2004. Texier M., Proult A., Bonneville J.- On the plasticity of Al-Cu-Fe quasicrystals.- International congress "Dislocations 2004", La colle-sur-loup (France), september 13-17, 2004. Texier M., Proult A., Bonneville J., Rabier J., Thilly L.- Microstructures de l'alliage Al-Pd-Mn déformé sous pression de confinemenement gazeux.- Congrès "Plasticité", Metz (France) 5-7 avril 2004. Texier M., Thilly L., Bonneville J., Proult A., Rabier J.- Shear experiments under confining pressure conditions of Al-Pd-Mn single quasicrystals.- International congress "Dislocations 2004", La collesur-loup (France), september 13-17, 2004. Wacharine S., Cambon C., Zamali H., Coulet M.V., Favotto C., Rogez J., Satre P.- Equilibres entre phases condensées à base de nitrates de césium et de rubidium.- XXXèmes JEEP, Metz, avril 2004 Elalamy Z., Fiere J., Enoch S., Escoubas L., Simon J.-J., Lemarquis F., Torchio P., Flory F., Microstructured thin-film based photonic crystal for directive thermal sources.- OSA International Conference on “Optical Interference Coatings” Tucson (Arizona, USA) (2004) Escoubas L., Enoch S., Simon J.J., Elalamy Z., Torchio P., Lemarquis F., Fiere J., Flory F., Cristal Photonique Tridimensionnel pour la Réalisation de Sources Directives Thermiques.- 5èmes Journées Nationales du Réseau de Recherche en Micro et Nano Technologies (RMNT) Cassis France 11-13 octobre (2004) Escoubas L., Flory F., Simon J.J., Torchio P., Elalamy Z., Plate-forme de Réalisation de Microcomposants Optiques.- 5èmes Journées Nationales du Réseau de Recherche en Micro et Nano Technologies (RMNT) Cassis France 11-13 octobre (2004) Simon J.J., Torchio P., Escoubas L., Cathelinaud M., Flory F., Alem S., Nunzi J.M., Optimisation du Champ Electromagnétique dans une Cellule Solaire Organique.- DIELOR 04 “Dispositifs Electroniques Organiques” Limoges France 14-17 septembre 2004 Simon J.J., Torchio P., Escoubas L., Cathelinaud M., Gallecier M., Alem S., Nunzi J.M., Flory F., Electromagnetic field optimization for enhancing photovoltaic efficiency of organic solar cells.- OSA International Conference on Optical Interference Coatings Tucson États-Unis d’Amérique (2004) 2005 Abel M., Koudia M., Maurel C., Mossoyan M., Mossoyan J.-C., Bliek A., Catalin D., Porte L.Monitoring phase transitions in bidimensional molecular film.- 23th European Conference On Surface Science, Berlin, Allemagne, septembre 2005 Andreoli G., Muller C., Goux L., Turquat Ch., Ferrandis Ph., Wouters D.J.- Analyses in situ de la fiabilité de véhicules de test FeRAM sous sollicitations électriques et radiatives.- Journées Couches Ferroélectriques, Nantes, France, septembre 2005 Aneflous L., Dallas J.P., Musso J. , Gavarri J.R., Benlhachemi A. , Benyaich H.- Décomposition thermique de précurseurs, nanostructuration du dioxyde de cérium.- 18ème Journée de la Société Française de Chimie, Région PACA, Université du Sud Toulon-Var, 8 avril 2005 250 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Barthélemy H., Fillaud M.- Simple CMOS 1/Lw inductive transconductance amplifier.- ECCTD Cork 2005, European Conference on Circuit Theory and Design, Cork, Ireland, 28 August - 2 September 2005 Baturin I., Shur V. Ya., Shishkin E., Kuznetsov D., Schneller T., Waser R., Lupascu D., Utschig T., Menou N., Muller C.- Kinetic approach to wake-up, fatigue and rejuvenation effects in ferroelectric crystals and thin films.- 11th International Meeting on Ferroelectricity, Foz do Iguaçu, Brazil, septembre 2005 Bécu S., Crémer S., Noblanc O., Autran J.L., Delpech P.- Characterization and modeling of Al2O3 MIM capacitors: temperature and electrical field effects.- ESSDERC’2005, 35th European Solid State Device Research Conference, Grenoble, 12-16 septembre 2005 Bergeon N., Weiss C., Mangelinck−Noel N., Billia B.- Advection of cellular patterns, dendritic patterns at a concave interface in directional solidification ofbulk transparent alloys ACCGE16, 16th American Conference on Crystal Growth and Epitaxy, Big Sky, Montana, USA, 11 juillet 2005 Bergeret E., Margalef A., Pannier P., Gaubert J.- Contraintes sur la conception d’un tag RFID UHF.TAISA 2005, 6ème Colloque sur le Traitement Analogique de l'Information, du Signal et ses Applications, Marseille, 13-14 octobre 2005 Bergeret E., Pannier Ph., Gaubert J.- Optimization of UHF voltage multiplier circuit for RFID application.- ICM 2005,17th International Conference on Microelectronics, Islamabad, Pakistan, 13-15 December 2005 Bergman C., Girardeaux C., Perrin-Pellegrino C., Gas P., Chatain D., Dubois J.M., Rivier N.Comparative study of the wettability by liquid Pb of decagonal Al13Co4 and cubic AlCo thin films.ICQ9, 9th International Conference on Quasicrystals, Ames, USA, May 22 - 26, 2005 Bescond M., Cavassilas N., Kalna K., Nehari K., Autran J.L., Lannoo M., Asenov A.- Simulation study of performance limits for Si, Ge, and GaAs ballistic nanowire MOSFETs.- 2005 Silicon Nanoelectronics Workshop, Kyoto, Japon, 12-13 juin 2005 Bescond M., Cavassilas N., Kalna K., Nehari K., Raymond L., Autran J.L., Lannoo M., and Asenov A.- Ballistic transport in Si, Ge, and GaAs nanowire MOSFETs.- IEDM 2005,51st IEEE International Electron Device Meeting, Washington, Etats-Unis, 2005 Bescond M., Cavassilas N., Nehari K., Autran J.L., Lannoo M., Asenov A.- Impact of point defect location in nanowire silicon MOFSETs.- ESSDERC’2005, 35th European Solid State Device Research Conference, Grenoble, 12-16 septembre 2005 Bescond M., Cavassilas N., Raymond L., Asenov A.- Simulation study of arbitrarily oriented Si, Ge and GaAs ballistic Nanowire MOSFETs.- HCIS 14,14th Intenational Conference on Nonequilibrium Carrier Dynamics in Semiconductors, Chicago, USA, 2005 Boulmani R., Bendahan M., Aguir K.- Influence of RF sputtered parameters on tungsten trioxide response sensors.- Eurosensors XIX, Barcelone Espagne, 11-14 septembre 2005 Bouquet V., Canet P., Lalande F., Devin J., Leconte B.- Fowler-Nordheim erasing time prediction in Flash memory.- NVMTS’2005, 6th Annual Non-Volatile Memory Technology Symposium, Dallas, Texas, USA, November 7-10, 2005 Bouquet V., Canet P., Lalande F., Devin J., Leconte B., Mariéma N.- Variation of flash memory threshold voltage correlated with applied voltage slope in Fowler Nordheim erase mode.PRIME’2005, Ph.D. Research In Micro-Electronics & Electronics, Lausanne, Switzerland, 25-28 July 2005 Bourdel S., Gaubert J., Pannier Ph., Barthélémy H., Battista M., Bachelet Y., Bas G.- Intégration d’un récepteur UWB en technologie CMOS pour les modulations PPM.- TAISA 2005, 6ème Colloque sur le Traitement Analogique de l'Information, du Signal et ses Applications, Marseille, 13-14 octobre 2005 PRODUCTIONS 251 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Bravaix A., Goguenheim D., Huard V., Denais M., Parthasarathy C., Perrier F., Revil N., Vincent E.Impacts of the recovery phenomena on the worst-case of damage in DC/AC stressed ultra-thin NO gate-oxide MOSFETs.- ESREF’05,16th European Symposium on Reliability of Electron Devices,Failure physics and analysis, Bordeaux, France, 10th-14th October 2005 Buckley J., De Salvo B., Deleruyelle D., Gely M., Damlencourt J.F., Holliger P., Martin F., Deleonibus S.- Study of fixed charges in atomic layer deposited Al2O3 dielectrics.- INFOS 2005,14th biennial Conference on Insulating Films on Semiconductors, Leuven, Belgium, June 2005 Casadei B., Dufaza C., Martin L.- Modèles de simulation de pixels actifs à photogrille.- Colloque READ'05 : Rétine Electronique, ASIC-FPGA et DSP pour la Vision et le Traitement d'Images en Temps réel, Evry, France, 1-3 juin 2005 Casadei B., Dufaza C., Martin L.- PS28 : Conception de pixels photogate.- STM-Rousset Cognitive Imaging Conference, PACA Program Status, STM-Rousset / AST-Advanced Systems Technologies, Rousset, France, 16 Novembre, 2005 Casadei B., Dufaza C., Martin L.- PS28 : Integrated sensors and electronic on Systems on Chip.Colloque CG13 L2MP / STM-Rousset, Rousset, France, 28 janvier 2005 Casadei B., Dufaza C., Martin L.- Simulation model for active pixel sensor.- 9th WSEAS International CSCC Multiconference, Vouliagmeni-Athens, Greece, 11-16th July 2005 Castellani-Coulié K., Munteanu D., Autran J.L., Ferlet-Cavrois V., Paillet P.- Investigation of 30nm gate-all-around MOSFET sensitivity to heavy ions : a 3-D simulation study.- RADECS 2005, 8th European Workshop on Radiation and its Effects on Components and Systems, Cap d’Agde,19-23 septembre 2005 Castellani-Coulié K., Munteanu D., Autran J.L., Ferlet-Cavrois V., Paillet P., Masson P.- Device simulation study of SEU in SRAMs based on double-gate MOSFETs.- ICMTD 2005, 1st International Conference on Memory Technology and Design, Giens, France, 21-24 mai 2005 Castellani-Coulié K., Xia M., Munteanu D., Autran J.L., Ferlet-Cavrois V., Paillet P.- Influence of simulation parameters on the bipolar amplification in fully-depleted SOI technologies under heavyion irradiations.- RADECS 2005, 8th European Workshop on Radiation and its Effects on Components and Systems, Cap d’Agde,19-23 septembre 2005 Cerutti R., Coronel P., Harrison S., Cros A., Wacquez R., Pouydebasque A., Delille D., Bustos J., Borel S., Leverd F., Samson M.P., Talbot A., Balestra F., Skotnicki T.- Metal gate-all-around CMOS integration using poly-gate replacement through contact hole (PRETCH).- International Conference on Integrated Circuit Design and Technology (ICICDT), p. 203 – 206, 9-11 May 2005 Cerutti R., Harrison S., Cros A., Coronel P., Boeuf F., Wacquez R., Bustos J., Delille D., Leverd F., Gouraud P., Borel S., Talbot A., Loubet N., Samson MP., Balestra F., Skotnicki T.- New design adapted planar double gate process for performant low standby power application.- Silicon NanoWorkshop, 2005 Chaillan F., Fraschini C., Amate M., Courmontagne P. Multiresolution analysis of SAS images.- IEEE OCEANS'05, Brest, France, juin 2005 Chaillan F., Fraschini C.,Courmontagne P.- Stochastic matched filtering method applied to SAS imagery.- IEEE OCEANS'05, Brest, France, juin 2005 Cheynet de Beaupré V., Rahajandraibe W., Zaid L.- Méthode de compensation en température d’un oscillateur en anneau fonctionnant à 2,45GHz.- TAISA 2005, 6ème Colloque sur le Traitement Analogique de l'Information, du Signal et ses Applications, Marseille, 13-14 octobre 2005 Cheynet de Beaupré V., Rahajandraibe W., Zaid L., Bas G.- CMOS 2.45GHz ring oscillator with temperature compensation.- ICECS’05, 12th IEEE International Conference on Electronics, Circuits ans Systems, Gammarth, Tunisia, 11-14 December 2005 252 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Chmielowska M., A. Kopia, Ch. Leroux, J. P. Dallas, Gavarri J.R.- Pulsed laser deposition of NdCeO2 thin films: microstructure and chemical substitution.- 18ème Journée de la Société Française de Chimie, Région PACA, Université du Sud Toulon-Var, 8 avril 2005 Chmielowski R., Madigou V., Frémy M.A., Blicharski M. and Nihoul G.- Structural analysis of Srn+1RunO3n+1 thin films elaborated by laser ablation technique.- MicroCEM (Progress in Microstructure Characterization by Electron Microscopy), Zakopane, Poland, 30 September – 2 October 2005 Collard Bovy A., Courmontagne P.- An all digital signal receiver for transmissions/reception of Radio-Frequencies.- IEEE-PRIME 2005, Lausanne Suisse, 25-28 July 2005 Courtade L., Menou N., Ch. Turquat, Muller Ch., Save D.- Qualification de mémoires FeRAM commerciales : quelle méthodologie adopter ?.- Journée Thématique du GdR FiFA (n° 2612), Paris, France, juin 2005 Debierre J.M., Guérin R., Ducousso T.- Anisotropie des propriétés physiques d'interface et solidification facettée.- Atelier Anisotropie du GDR "Champ de Phase", Paris, novembre 2005 Debierre J.M., Guérin R., Ducousso T., Dufay M. Différents problèmes en science des matériaux abordés par la méthode du champ de phase.- Première réunion du GDR "Champ de Phase", Paris, mars 2005 Dehaese N., Bourdel S., Bachelet Y., Bas G.- FSK zero-crossing demodulator for 802.15.4 low-cost receivers.- ICECS, 12th IEEE International Conference on Electronics, Circuits and Systems, Tunis, Tunisie, 2005 Delamare R., Gillet M., Gillet E.- Préparation et propriétés électriques de nanofils d’oxyde de tungstène.- Forum des Microscopies à Sondes Locales, Anglet, France, 29 mars-1er avril 2005 Deleruyelle D., Molas G., De Salvo B., Gely M., Lafond D.- Single-electron phenomena in ultrascaled floating-gate devices and their impact on electrical characteristics.- ICMTD’05, 1st International Conference on Memory Technology and Design, Giens, France, mai 2005 Denais M., Huard V., Parthasarathy C., Ribes G., Perrier F., Roy D., Bravaix A.- New perspectives on NBTI in advanced technologies : modelling and characterization.- ESSDERC’2005, 35th European Solid State Device Research Conference, Grenoble, 12-16 septembre 2005 Desbief S., Patrone L., Goguenheim D., Vuillaume D. Croissance de n-alkyltrichlorosilanes de différentes longueurs sur Si/SiO2 : application à la formation de nano-domaines moléculaires par séparation de phase.- 18ème Journée Régionale de la Chimie, Société Française de Chimie et Université du Sud Toulon-Var, La Garde, 7 avril 2005 Desbief S., Patrone L., Goguenheim D., Vuillaume D. Formation of nano-domains in SAMs of longchain alkyltrichlorosilanes deposited on silicon. ECME 8, 8th European Conference on Molecular Electronics, Bologne, Italie, 29 juin - 2 juillet 2005 Desbief S., Patrone L., Goguenheim D., Vuillaume D. Nanostructured self-assembled monolayers of long-chain alkyltrichlorosilanes on Si.- TNT 2005, Trends in Nanotechnology, Oviedo, Espagne, 9 août – 2 septembre 2005 Di Gilio T., Bravaix A.- Lifetime prediction of ultra-thin gate-oxide PMOSFETs submitted to hotcarrier injections.- IRW’05, IEEE Integrated Reliability Workshop, Stanford Sierra Camp, Fallen Leaf Lake, USA, 17th-20th October 2005 Egels M., Gaubert J., Pannier P.- Guidelines for standard CMOS travelling wave amplifier design.ICM 2005,17th International Conference on Microelectronics, Islamabad, Pakistan, 13-15 December 2005 Egels M., Gaubert J., Pannier P.- LNA en bande K en technologie CMOS standard 0,13 µm.14èmes Journées Nationales Microondes, Nantes, 11-13 mai 2005 PRODUCTIONS 253 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Ehouarne L., Mangelinck D., Gas P., Putero M., Mercier J.P., Coppard R.- Limitations du Cobalt dans le procédé salicide et comparaison avec le Nickel.- 8èmes Journées Nationales du Réseau Doctoral de Microélectronique, 10 au 12 mai 2005, Paris Fournigault M., Trémeau A., Robert-Inacio F.- Characteristic centre points for quasi-convex shapes.- 9th European Congress on Stereology and Image Analysis, Zakopane, Poland, May 10-13 2005 Fournigault M., Trémeau A., Robert-Inacio F.- Generalization of the circumscribed disk algorithm extension.- IS&T International Conference on Imaging : Technology and Applications for the 21st century, Beijing, China, May 23-26 2005 Fraschini C., Chaillan F., Courmontagne P. On the fluctuations of the ambiguity function in RADAR and SONAR.- IEEE OCEANS'05, Brest, France, juin 2005 Fraschini C., Chaillan F., Courmontagne P. An improvement of the discriminating capability of the active SONAR by optimization of a criterion based on the Cramer-Rao lower bound IEEE OCEANS'05, Brest, France, juin 2005 Frémy M-A., Gagou Y., Mezzane D., Zegzouti A., Aliouane N., Badèche T., Saint-Grégoire P.Conduction phenomena in the compound PbK2LiNb5O15.- Première Rencontre Marocaine des Matériaux Electriques (PREMME2005), Errachidia, Maroc, 15-16 sept 2005 Gastaldi J., Schenk T., Reinhart G., Klein H., Härtwig J., Mangelinck−Noël N., Grushko B., Nguyen Thi H., Pino P., Billia B., Baruchel J. In situ observation of pore evolution during melting and solidification of Al−Pd−Mn quasicrystals by synchrotron X−ray radiography.- 9th International Conference on Quasicrystals, Ames, Iowa, USA, 22 mai 2005 Gaubert J., Bourdel S., Pannier Ph., Barthélemy H., Battista M., Egels M.- Méthodes de conception pour amplificateurs faible bruit pour systèmes intégrés UWB 3.1-10.6 GHz.- TAISA 2005, 6ème Colloque sur le Traitement Analogique de l'Information, du Signal et ses Applications, Marseille, 13-14 octobre 2005 Gavarri J.R., Personnic O.- Conférences - Atelier sur le thème « nanomatériaux pour multicapteurs », International Workshop Nanomaterials & Multisensors, Université du Sud Toulon Var, 7 juillet 2005 Ghorayeb A. M., Goiran M., Broto J.-M., Millet P., Stepanov A.- Magnetic properties and ESR of the quantum-spin system eta-Na1.286V2O5.- European Science Foundation Workshop on Highly Frustrated Magnetism, La Londe Les Maures, France, 7–9 novembre 2005 Gilibert F., Rideau D., Payet F., Boeuf F., Batail E., Minondo M., Bouchakour R., Skotnicki T., Jaouen H.- Strained Si/SiGe MOSFET capacitance modeling based on band structure analysis.ESSDERC’2005, 35th European Solid State Device Research Conference, Grenoble, 12-16 septembre 2005 Giovanelli L., Panaccione G., Rossi G., Fabrizioli M., Tian C.-S., Gastelois P. L., Fujii J., Back C. H.Layer selective spectroscopy on Fe/GaAs(001): influence of the interface on the magnetic properties.- ICFSI-10, 10th International Conference on the Formation of Semiconductor Interfaces, Aix-en-Provence, juillet 2005 Giovanelli L., Panaccione G., Rossi G., Fabrizioli M., Tian C.-S., Gastelois P. L., Fujii J., Back C. H.Magnetization profile of a thin film of Fe/GaAs(001)-4x6.- Journées Surfaces et Interfaces, Lyon, 2005 Giovanelli L., Panaccione G., Rossi G., Fabrizioli M., Tian C.-S., Gastelois P. L., Fujii J., Back C. H.Interface magnetization profiling by X-ray magnetometry of marker impurities on Fe/GaAs(001).ECOSS 23, 23rd International Conference on Surface Science, Berlin, septembre 2005 Giovanelli L., Themlin J.-M., Vilmercati P., Castellarin-Cudia C., Goldoni A.- Electronic properties of alkali-doped Zn-phthalocyanine.- ElecMol’05, Second Meeting on Molecular Electronics, Grenoble, France, décembre 2005 254 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Gomri S., Seguin J.L., Aguir K.- Gas sensor selectivity enhancement by noise spectroscopy: a model of adsorption desorption noise.- IEEE-PRIME 2005, Lausanne Suisse, 25-28 July 2005 Goux L., Lisoni J.G., Schwitters M., Paraschiv V., Maes D., Haspeslagh L., Wouters D.J., Menou N., Turquat Ch., Madigou V., Muller Ch., Zambrano R.- Composition control and ferroelectric properties of sidewalls in integrated 3-Dimensional Sr0.8Bi2.2Ta2O9-based ferroelectric capacitors.- ICMTD’05, 1st International Conference on Memory Technology and Design, Giens, France, mai 2005 Guérin C., Huard V., Bravaix A., Denais M., Roux J.M., Perrier F., Baks W.- Combined effects of NBTI and channel hot-carrier effects in pMOSFETs.- IRW’05, IEEE Integrated Reliability Workshop, Stanford Sierra Camp, Fallen Leaf Lake, USA, 17th-20th October 2005 Guigues F., Rudolff F., Kussener E. Static analog design methodology applied to self cascode PTAT voltage reference.- FTFC Faible Tension Faible Consommation, Institut Supérieur d’Electronique de Paris ISEP, France, 18-20 mai 2005 Hoummada K., Mangelinck D., Bergman C., Gas P., Lee P. S., Osipowicz T.- Redistribution du platine lors de la formation des siliciures de nickel par réaction d’un film de Ni (5%Pt) avec Si (100).- 8èmes Journées Nationales du Réseau Doctoral de Microélectronique, 10 au 12 mai 2005, Paris Jung H., Mangelinck-Noel N., Nguyen-Thi H., Billia B.- Etude de la transition colonnaire - équiaxe lors de la solidification dirigée d’alliages métalliques massifs.- GDR CNES-CNRS “Micropesanteur Fondamentale et Appliquée”, Carry-le-Rouet, 17 - 19 octobre 2005 Karpus V., Suchodolskis A., Karlsson U. O., Le Lay G., Giovanelli L., Assmus W., Brühne S., Uhrig E.- Mg 2p shallow core-level and local atomic structure of i-ZnMgRE quasicrystals.ICTF13/ACSIN8, Stockholm, Sweden, 19-23 June 2005 Kopia A., Chmielowska M., Leroux Ch., Dallas J.P., Gavarri J.R., Kusinski J.- Structural analyses of Nd doped CeO2 thin films deposited by pulsed laser deposition. 18ème Journée de la Société Française de Chimie, Région PACA, Université du Sud Toulon-Var, 8 avril 2005 Kopia A., Chmielowska M., Leroux Ch., Gavarri J.R., Kusiński J. Structural analyses of Nd doped CeO2 thin films deposited by means of laser ablation.- 12th International Conference on Electron Microscopy of Solids, EM 2005, Kazimierz Dolny, Poland , June 2005 Koudia M., Abel M., Maurel C., Bliek A., Catalin D., Mossoyan M., Mossoyan J-C., Porte L.Influence des substituants de périphérie sur l’auto-organisation de phtalocyanines en surface Ag(111).- Journées Surfaces et Interfaces, Lyon, janvier 2005 Koudia M., Abel M., Maurel C., Bliek A., Catalin D., Mossoyan-Deneux M., Mossoyan J.-C., Porte L.Two-dimensional layout of Chlorine-Zinc-Phthalocyanine through original hydrogen bonds network.- ICFSI-10, 10th International Conference on the Formation of Semiconductor Interfaces, Aix-en-Provence, France, juillet 2005 Koudia M., Abel M., Maurel C., Mossoyan J.-C., Bliek A., Catalin D., Porte L.- Influence of Cl substitution on the self-assembly of zinc-phthalocyanine.- ElecMol’05, Second Meeting on Molecular Electronics, Grenoble, France, décembre 2005 Koudia M., Abel M., Maurel C., Mossoyan-Deneux M., Mossoyan J.-C., Porte L.- Influence des ligants périphériques sur l'auto organisation de phtalocyanines en surface d'Ag(111).- Forum des Microscopies à Sondes Locales, Anglet, mars 2005 Labidi A., Lambert-Mauriat C., Bendahan M., Jacolin C., Aguir K., Abdelghani A. and Maaref M.Impedance spectroscopy study of WO3 based sensor under oxidizing and reducing gases Eurosensors XIX, Barcelone Espagne, 11-14 septembre 2005 Labidi A., Lambert-Mauriat C., Jacolin C., Maaref M., Aguir K.- DC/AC characterizations of WO3 sensor of ethanol: mechanisms of detection.- IEEE Sensors 2005, Irvine, California, USA, Oct. 31Nov. 3 2005 PRODUCTIONS 255 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Lambert-Mauriat C., Oison V.- Formation de lacunes neutres d’oxygène dans WO3 monoclinique.GDR DFT 2005, Cap d’Agde, France, 18-20 mai 2005 Lexcellent C., Roinet P., Bernardini J., Beke D.L., Olier P.- High temperature creep measurements in equiatomic Ni-Ti shape memory alloy.- DSL 2005, First International Conference on Diffusion in Solids and Liquids, Aviero, Portugal, juillet 2005 Liu Q.S., Zhou B.H., Liu R., Nguyen-Thi H., Billia B.- Oscillatory instabilities of two-layer RayleighMarangoni-Bénard convection.- 56th International Astronautical Congress – 2005, Fukuoka, Japon, 17-21 octobre 2005 Lollman D., Gillet E., Gillet M. Surface reactions during O2 detection by WO3 base sensors.Eurosensors XIX, Barcelone Espagne, 11-14 septembre 2005 Lombardo P., Hayn R., Avignon M.- Magnetism, electronic properties and disorder in highdimensional strongly correlated materials International Conference CORPES05, Dresden, Allemagne, 4–8 avril 2005 Lopez L., Masson P., Nee D., Bouchakour R.- A model to explain the C-V curves of DRAM capacitors with silicon electrodes and trapping dielectrics.- ICMTD’05, 1st International Conference on Memory Technology and Design, Giens, France, mai 2005 Lopez L., Portal J.M., Née D.- A new embedded measurement structure for eDRAM capacitor.DATE'05,IEEE International Conference on Design Automation and Test in Europe, München, Germany, March 2005 Luciani X., Patrone L., Courmontagne P. Nano-domains segmentation on AFM images.- ICFSI-10, 10th International Conference on the Formation of Semiconductor Interfaces, Aix-en-Provence, France, juillet 2005 Luciani X., Patrone L., Courmontagne Ph.- Nano-domains segmentation on AFM images.- ICFSI, 10th International Conference on the Formation of Semiconductor Interface, Aix-en-Provence, 3-8 juillet 2005 M.Isa, Valmalette J.C., Kameoka S., Dallas J.P., Tsai A.P. Spontaneous nanostructuration of gold alloys in air : New way of synthesis of 5 nm nanosized catalysts for water gas shift (WGS) and CO oxidation reactions,.- 96th CASTJ Meeting, Kumamoto, Japan, 28-30 September 2005 Mangelinck D., Bergman C., Nemouchi F., Gas P., Labar J.- Formation of Ni silicide and Ni germanide on amorphous Si and Ge .- DSL 2005, First International Conference on Diffusion in Solids and Liquids, Aviero, Portugal, juillet 2005 Margalef A., Pannier P., Gaubert J., Bergeret E.- Antennes miniatures pour systèmes passifs RFID UHF. 14èmes Journées Nationales Microondes, Nantes, 11-13 mai 2005 Maurel C., Abel M., Koudia M., Bocquet F., Roussel J. M., Bliek A., Catalin C., Porte L.- Effet de la ségrégation lors de la croissance de Cu/Ag(111).- Journées Surfaces et Interfaces, Lyon, janvier 2005 Meillère S., Barthélemy H., Martin M. Démodulateur ASK pour un lecteur RFID à 13.56MHz.- TAISA 2005, 6ème Colloque sur le Traitement Analogique de l'Information, du Signal et ses Applications, Marseille, 13-14 octobre 2005 Menou N., Muller Ch., Baturin I., Kuznetsov D., Shur V.Ya., Sternberg A.- Switching behavior in irradiated PZT thin films.- 17th International. Symposium on Integrated Ferroelectrics (ISIF), Shanghai, China, April 17–20, 2005 Monnereau O., Tortet L., Guinneton F., Notonier R., Grigorescu C. E. A., Zhang T., Mihailescu I.N., Stanoi D., Trodahl H.J.- Chromium oxides developed for spintronic applications: Review of preparation and Raman studies.- E-MRS 2005 Spring Meeting, Strasbourg, France, 31 mai - 3 juin 2005 256 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Munteanu D., Autran J.L., Loussier X., Harrison S., Cerutti R., Skotnicki T.- Quantum shortchannel compact modeling of drain-current in double-gate MOSFET.- ESSDERC’2005, 35th European Solid State Device Research Conference, Grenoble, 12-16 septembre 2005 Nehari K., Autran J.L., Munteanu D., Bescond M.- A compact model for the threshold voltage of silicon nanowire MOS transistors including 2D-quantum confinement effects.- MSM'2005, Technical Proceedings of the 8th International Conference on Modeling and Simulation of Microsystems, Anaheim, Californie, USA, 8-12 mai 2005 Nehari K., Cavassilas N., Autran J.L., Bescond M., Munteanu D., Lannoo M.- Influence of bandstructure on eectron ballistic transport in silicon nanowire MOSFET’s : an atomistic study.ESSDERC’2005, 35th European Solid State Device Research Conference, Grenoble, 12-16 septembre 2005 Nehari K., Munteanu D., Autran J.L., Harrison S., Tintori O., Skotnicki T.- Compact modeling of threshold voltage in double-gate MOSFET including quantum mechanical and short channel effects.- MSM'2005, Technical Proceedings of the 8th International Conference on Modeling and Simulation of Microsystems, Anaheim, Californie, USA, 8-12 mai 2005 Nemouchi F., Mangelinck D., Bergman C., Gas P.- Mise en évidence par calorimétrie différentielle à balayage de la croissance contrôlée par réaction interfaciale lors de la formation de films de Ni2Si.Journées d'Automne de SF2M, Paris, 25-27 octobre 2005 Nemouchi F., Mangelinck D., Bergman C., Gas P., Clugnet G.- Formation linéaire-parabolique de Ni2Si caractérisée par diffraction de rayons X et calorimétrie différentielle à balayage.- 8èmes Journées Nationales du Réseau Doctoral de Microélectronique, Paris, 10-12 mai 2005 Ngo K. A., Lauque P., Aguir K.- Identification of toxic gases with a sensor array using temperature modulation IEEE Sensors 2005, Irvine, California, USA, Oct. 31- Nov. 3 2005 Ngo K. A., Lauque P., Aguir K.- Metal oxide gas sensor array for automotive pollution monitoring.SENSACT, Paris, France, 7 décembre 2005 Ngo K. A., Lauque P., Aguir K.- Détection de gaz toxiques par réseau de capteurs et analyse multivariable.- Congrès National de Chimiométrie 2005, Lille, 30 novembre – 1er décembre 2005 Nguyen−Thi H., Gastaldi J., Schenk T., Reinhart G., Mangelinck−Noël N., Billia B., Cristiglio V., Grushko B., Härtwig J., Klein H., Baruchel J.- Characterization of the growth dynamics of icosahedral AlPdMn quasicrystals by in situ synchrotron X−ray imaging.- 9th International Conference on Quasicrystals, Ames, Iowa, USA, 22 mai 2005 Nyeki J., Girardeaux C., Rolland A., Bernardini J.- AES measurements of Sb mass transport in amorphous Si thin films.- ICFSI 10,10th International Conference on the Formation of Semiconductor Interfaces, Aix-en Provence, 8-12 juillet 2005 Paret J.- Long-time dynamics of biaxially stressed solid surfaces.- TMS 134th Annual Meeting, San Francisco, USA, 13-17 February 2005 Paret J.- Effets élastiques dans les transitions de phase solide/solide : interfaces incohérentes et champ de phase.- GDR "Champ de phase", Paris, 16-17 novembre 2005 Parthasarathy CR, Denais M., Huard V., Ribes G., Vincent E., Bravaix A.- Characterization and modeling NBTI for design-in-reliability.- IRW’05, IEEE Integrated Reliability Workshop, Stanford Sierra Camp, Fallen Leaf Lake, USA, 17th-20th October 2005 Patrone L., Gadenne V., Desbief S. Phase separation study in binary self-assembled monolayers of alkyl chains and conjugated molecules co-adsorbed on Si.- TNT 2005, Trends in Nanotechnology, Oviedo, Espagne, 9 août – 2 septembre 2005 Pic D., Ogier J.L., Goguenheim D.- Degradation mechanisms and accelerated ageing of insulating layers in microelectronic devices : Application to the statistical lifetime prediction of ultra-thin oxides.- 8th Technical and Scientific Meeting of CREMSI, FEOL from 130 to 65 nm : scaling challenges, Fuveau, France, 20-21 octobre 2005 PRODUCTIONS 257 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Portavoce A., Berbezier I., Ronda A., Gas P.- Diffusion et ségrégation de dopants dans SiGe, effet des contraintes d’épitaxie.- GDR Relax - Diffusion, Réaction et Contraintes, Marseille, 4 et 5 avril 2005 Portavoce A., Berbezier I., Ronda A., Gas P., Christensen J.S., Kuznetsov A.Yu., Svensson B.G.Dopant diffusion in Si(Ge) thin films: effect of epitaxial stress.- DiSo 2005 - Diffusion in Solids, Moscou, 22 au 27 mai 2005 Portavoce A., Hull R., Reuter M.C., Ross F.M.- Positioning and density control of Ge islands on the Si(001) surface.- ICFSI 10,10th International Conference on the Formation of Semiconductor Interfaces, Aix-en Provence, 8-12 juillet 2005 Ranica R., Villaret A., Malinge P., Candelier P., Masson P., Bouchakour R., Mazoyer P., Skotnicki T.- 1T-Bulk DRAM cell with improved performances: the way to scaling.- ICMTD’05, 1st International Conference on Memory Technology and Design, Giens, France, mai 2005 Ranica R., Villaret A., Malinge P., Gasiot G., Mazoyer P., Roche P., Candelier P., Jacquet F., Masson P., Bouchakour R., Fournel R., Schoellkopf J.P., Skotnicki T.- Scaled 1T-Bulk devices built with CMOS 90 nm technology for low-cost eDRAM applications.- VLSI Technology Symposium, Kyoto, Japan, 14-16 June 2005 Régnier A., Portal J.M., Bouchakour R., Renovell M.- Modeling halo implant failures in MOS submicron technology.- LATW’05, IEEE Latin American Test Workshop, Salvador da Bahia, Brazil, March 2005 Reinhart G., Mangelinck N., Nguyen−Thi H., Billia B.- Investigation of columnar − equiaxed transition and equiaxed growth of aluminium based alloys by X−ray imaging at ESRF.- ICASP 11, 11th International Conference on Advances in Solidification Processes, Stockholm, Suède, 7 juin 2005 Reinhart G., Nguyen-Thi H., Mangelinck-Noel N., Billia B.- Solidification dirigée et transition colonnaire - équiaxe. Observation par imagerie X.- GDR CNES-CNRS “Micropesanteur Fondamentale et Appliquée”, Carry-le-Rouet, 17 - 19 octobre 2005 Rey-Tauriac Y., Badoc J., Reynard B., Bianchi R.A., Lachenal D., Bravaix A.- Hot-carrier reliability of 20V MOS transistors in 0.13 µm CMOS technology.- ESREF’05,16th European Symposium on Reliability of Electron Devices,Failure physics and analysis, Bordeaux, France, 10th-14th October 2005 Robert-Inacio F.- Distance maps for shape classification.- IS&T International Conference on Imaging : Technology and Applications for the 21st century, Beijing, China, May 23-26 2005 Robert-Inacio F., Mézerette S., Charollais F., Cellier F.- Characterization of HTR nuclear fuel particles by distance mapping.- 9th European Congress on Stereology and Image Analysis, Zakopane, Poland, May 10-13 2005 Rudolff F., Guigues F., Kussener E.- Static design methodology dedicated to low power analog circuits.- SPIE’2005, Microelectronics, MEMS, and Nanotechnology, Queensland Univ. of Technology, Australie, 11–15 December 2005 Saillet B., Portal J.M., Née D.- Flash memory cell : parametric test data reconstruction for process monitoring.- EEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Monterey, CA, USA, 3-5 October 2005 Saillet B., Portal J.M., Née D.- Flash memory cell : an automated diagnosis tool for geometric failures.- ICMTD’05, 1st International Conference on Memory Technology and Design, Giens, France, mai 2005 Sati P., R. Hayn, R. Kuzian, C. Deparis, C. Morhain, M. Laugt, S. Régnier et A. Stepanov.- Magnetic anisotropy of Co2+ in ZnO.- 12th International conference on II-VI compounds, Warsaw, Poland, 12-16 September 2005 258 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Scheybal A., Ramsvik T., Bertschinger R., Putero M., Nolting F., Jung T.A.- Magnetic interaction between a ferromagnetic substrate and adsorbed manganese porphyrin molecules.- 21th Annual Meeting Advanced Nano Probe Techniques,Schweizerische Arbeitsgemeinschaft Oberflächen und Grenzflächen (SAOG),Groupe Suisse de Travail Surfaces and Interfaces (GSSI), Université de Fribourg, Suisse, 21 January 2005 Scheybal A., Ramsvik T., Bertschinger R., Putero-Vuaroqueaux M., Jung T.A.- Exchange interaction between a ferromagnetic substrate and adsorbed metallo-porphyrin molecules.- Intermag 2005, International Magnetics Conference, Nagoya Congress Center, Nagoya, Japon, 4-8 avril 2005 Tintori O., Munteanu D., Autran J.L.- Compact modeling of symmetric Double-Gate MOSFET for circuit simulation.- ULIS 2005, 6th European Workshop on Ultimate Integration of Silicon, Bologne, Italie, 7-8 avril 2005 Tramoni A.- Optimisation du meilleur compromis entre tension de téléalimentation et taux de modulation de l’onde rétro modulée dans les systèmes de cartes à puce sans contact.- GDRONDES GT4 « Petits Objets Sécurisés Communicants », Paris, France, 3 novembre 2005 Tramoni A., Tetelin C., Enguent J-P.- Method to simulate contactless system based on Sparameters measurements.- EuMW 2005, 35th European Microwave Week, Paris, France, 3-7 octobre 2005 Tramoni A., Tetelin C., Malherbe A., Conraux J.- Best compromise between tele supply and modulated rate of backscattered signal in RFID systems.- ISPACS’05, International Symposium on Intelligent Signal Processing and Communication Systems, Hong Kong, China, 13-16 December 2005 Turquat C., Madigou V., Goux L., Menou N., Lisoni J.G., Wouters D.J., Muller C., The investigation of the Bi segregation in SBT-based 3D ferroelectric capacitors ISDS'05, International Symposium on micro and nanoscale domain structuring in ferroelectrics, Ekaterinburg, Russia, novembre 2005 Valerio E., Guinneton F., Fabre A., Grigorescu C., Van Roy W., Autric M.- Growth of droplets-free Co2MnSi/GaAs ferromagnetic thin films by crossed-beams PLD technique E-MRS 2005 Spring Meeting, Strasbourg, France, 31 mai - 3 juin 2005 Weiss C., Bergeon N., Mangelinck N., Billia B.- Effects of the interface curvature on cellular and dendritic microstructures.- ICASP 11, 11th International Conference on Advances in Solidification Processes, Stockholm, Suède, 7 juin 2005 Weiss C., Bergeon N., Mangelinck-Noel N., Billia B.- Etude in situ et en temps réel de la solidification dirigée d'alliages transparents massifs (projet DECLIC).- GDR CNES-CNRS “Micropesanteur Fondamentale et Appliquée”, Carry-le-Rouet, 17 - 19 octobre 2005 Xerri B., Borloz B.- Subspace Signal –to-Noise Maximization: the constrained stochastic matched filter0.- Eighth International Symposium on Signal Processing and its Applications.- ISSPA (IEEE), Sydney (Australie), août 2005 Zarbout K., Moya G., Bernardini J., Moya-Siesse D., Si Ahmed A., Kansy J., Goeuriot D.Consequences of silicon segregation on the dielectric properties of sintered alumina.- International Conference on” Diffusion in Solids : Past, Present and Future”, Moscou, Russie, 23-27 mai 2005 Ahoussou A., Rogez J., Kone A., Mathieu J.C.- Thermodynamique des systèmes vitreux à base de borophosphates et de borosilicates alcalins.- XXXIèmes JEEP, Barcelone, avril 2005. Alexandre L., Rousseau K., Alfonso C., Saikaly W., Charaï A., Fares L., Grosjean C.Développement d’une méthodologie d’étude des contraintes locales par MET/CBED dans les composants CMOS.- Ecole « contraintes mécaniques en micro, nano et optoélectronique », Nants, France, Septembre 2005 Alexandre L., Rousseau K., Alfonso C., Saikaly W., Charaï A., Fares L., Grosjean C.- Development of a methodology for local strain measurements by TEM/CBED in CMOS devices.- The 8th Technical and Scientific Meeting of CREMSI "Front End Of Line : from 130 to 65 nm, the scaling challenges ", ST University, Fuveau, 20-21 Octobre 2005 PRODUCTIONS 259 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Ardhaoui K., Rogez J., Jemal M., Ben Cherifa A.- Détermination des enthalpies de formation de britholites oxylées au lanthane.- 3èmes journées de la chimie du solide (JCS), 2005, Mehdia (Tunisie) Benarchid Y., Rogez J., Diouri A., Boukhari A., Aride J.- The effect of Cr2O3 and P2O5 additions on the phase transformations during the formation of calcium sulfoaluminate cement.- XXXIèmes JEEp, Barcelone, avril 2005. Boa D., Hassam S., Rogez J., Kotchi K.P., Mathieu J.C.- Evaluation thermodynamique du système ternaire Bi-Fe-Sb.- XXXIèmes JEEP, Barcelone, avril 2005 Bouchet D., Lartigue-Korinek S., Molins R., Thibault J.- Yttrium segregation and intergranular defects in alumina.- J2IM 2005 (juin 2005, Issambres) Coulet M-V., Gaspard J.P., Bichara C.- Ordre local des alliages liquides Ge15Te85 par diffusion de neutrons et spectroscopie .- d’absorption X .- Journées de la diffusion neutronique (JDN13), Mai 2005 – Anglet - France Cugny H., Rasmussen S.B., Eriksen K.M., Rogez J., Fehrman R.- Calorimetry,Conductivity measurements and Phase Diagram of the K2S2O7-Cs2S2O7 system .- XXXIèmes JEEP, Barcelone, avril 2005. Dumont M., Deschamps A., Steuwer A., Peel M., Withers P., Shercliff H.R.- Caractérisation quantitative et modélisation de l’état de precipitation d’alliages d’aluminium après soudage.Journées d’Automne de la SF2M, Paris, octobre 2005. Dumont M., Deschamps A., Steuwer A., Peel M., Withers P., Shercliff HR..- SAXS mapping of microstructure of friction stir welds of 7449 aluminium alloys.- EUROMAT 2005, septembre 2005, Prague Eberlein M., Escoubas S., Thomas O., Rohr P., Coppard R. .- Diffraction of local stress created by periodic trench isolation structure.- International Workshop on Phase Retrieval and Coherent Scattering, Porquerolles, France, 15 - 17 juin 2005. Eberlein M., Escoubas S., Thomas O., Rohr P., Coppard R. .- Mesure de contraintes locales par diffraction X Haute-Résolution sur des structures STI périodiques.- Ecole thématique CNRS contraintes internes : de leurs origines à leur utilisation dans les matériaux à propriétés électroniques, Nant, France, 18 - 23 septembre 2005 Eberlein M., Escoubas S., Thomas O., Rohr P., Coppard R. .- Diffraction from periodic arrays of oxide-filled trenches in silicon: investigation of local strains.- 8èmes Rencontres Scientifiques et Techniques du CREMSI,Gardanne, France, 20 - 21 octobre 2005. El Kabir T., Texier M., Bonneville J., Proult A.- Mesure du volume d'activation dans Al-Pd-Mn : comparaison saut de vitesse - relaxation.- Congrès "Plasticité", La Rochelle (France), 11-13 avril 2005. Gailhanou H., Olives J., Amouric M., Rogez J., Blanc P., Gaucher E.- 2ème Journée de Parténariat R&D BRGM-ANDRA, Orléans janvier 2005. Gailhanou H., Rogez J., Olives J., Amouric M., Van Miltenbourg J.C., Blanc P., Gaucher E.Nanostructures et propriétés thermodynamiques de minéraux argileux : illite, smectite et interstratifié illite-smectite.- XXXIèmes JEEP, Barcelone, avril 2005. GheribiI A., Record M.C., Rogez J., Mathieu J.C.- Nouvelle approche de la thermodynamique sous pression.- XXXIèmes JEEP, Barcelone, avril 2005. Gheribi A., Rogez J., Mathieu J.C., Marinelli F., Record M.C. .- Theoretical calculation of phase diagram : Introduction of the pressure dependence.- CALPHAD, Maastricht mai 2005. Lancin M., Regula G., Douin J., Idrissi H., Ottaviani L., Pichaud B.- Investigation of Mechanical Stress Induced-Double Stacking Faults in (11-20) Highly N-doped 4H-SiC Combining Optical 260 COMMUNICATIONS ORALES OU POSTERS RAPPORT D’ACTIVITE 2002 - 2006 Microscopy, TEM, Contrast Simulation and Dislocation Core Reconstruction.- ISCREAM 2005, Pittsburg, USA, 19-23 Septembre 2005 Lelièvre J-F., Rozier Y., Bernaudeau A., Palais O., Kaminski A., Quoizola S., Nichiporuk O., Bérenguer M., Girard P., Loretz J-C., Giral C., Pellegrin Y., Lemiti M. .- Surface and Bulk Passivation of Silicon by LF-PECVD Hydrogenated Silicon Nitride SiNx:H .- PVSEC-15 - 15th International Photovoltaic Science and Engineering Conference & Exhibition October 10-15, 2005 Shangai, China Marel C., Abel M., Koudia M., Bocquet F., Roussel J.M., Bliek A., Catalin D., Porte L.- Effet de la segregation lors de la croissance de Cu/Ag(111).- 19ème journée Surface/interface Lyon (2005) Martinuzzi S., Barakel D., Vervisch V., Palais O., Dubois S., Pasquinelli M., Ferrazza F. .- N-type multicrystalline silicon and solar cells .- 20th EPVSEC, Barcelonne 2005. Pichaud B., Idrissi H., Regula G., Douin J., Lancin M.- Mobilité de dislocations partielles 30° Si(g) introduites par flexion cantilever dans du SiC-4H fortement dopé à l’azote.- Colloque Plasticité, La Rochelle, 11-13 Avril 2005 Rogez J., Weibel A., Bouchet R.- Energie de surface dans les oxydes de titanei par calorimétrie de dissolution.- Séminaire de Thermodynamique Expérimentale à Haute température, Grenoble, octobre 2005. Sarrazin P., Blake D., Bish D., Vaniman D., Chipera S., Gailhanou M.- Definitive mineralogical analysis on Mars using X-ray diffraction, .- Denver X-ray Conference 2005, Denver, USA ( 2005) Texier M., Thilly L., Joulain A., Bonneville J., Rabier J.- Cisaillement sous pression de confinement de la phase quasicristalline Al-Pd-Mn.- Congrès "Plasticité", La Rochelle (France) 11-13 avril 2005. Thibault J., Hytch M., Putaux J-L., Pénisson J-M.- Mesure des constantes élastiques par MEHR : application de la méthode des phases géométriques.- J2IM 2005 (juin 2005, Issambres) Varlet H., Alfonso C., Pichaud B.- Characterization by X-ray Diffraction and Transmission Electron Microscopy of GaInAs and GaAsN layers grown on GaAs substrates.- EUROMAT, Prague, République Tchèque, Septembre 2005 Defranoux C., Guinche Y., Monestier F., Simon J.J., Torchio P., Escoubas L., Nunzi J.M., Characterization of Materials and Multilayer Structures of Organic Solar Cell by Spectroscopic Ellipsometry.- 1st Plastic Electronics Conference 2005 francfort Allemagne October 4-5 (2005) Mangeat T., Escoubas L., Flory F., De Micheli M., Coudray P., Aubert C., Guides d’ondes canaux anisotropes pour la rotation d’états de polarisation de la lumière.- Journées Nationales d’Optique Guidée France (2005) Mazingue T., Escoubas L., Flory F., Spalluto L., Jelinek M., Mihailescu I., Kaminska E., Piotrowska A., Perrone A., Optical sensitivity of thin films to hydrocarbons and ozone.- Optical Systems Design Jena Allemagne 12–16 September (2005) Monestier F., Simon J.J., Torchio P., Escoubas L., Cathelinaud M., Alem S., Nunzi J.M., Photovoltaic Efficiency of Organic Solar Cells: Electromagnetic Field Optimization.- E-MRS 2005 Spring Meeting (European Materials Research Society) on “Thin Film and Nano-Structured Materials for Photovoltaïcs”, THINC-PV2 Strasbourg France May 31-June 3 (2005) Simon J.J., Torchio P., Monestier F., Cathelinaud M., Escoubas L., Demonstration of a Software for Automatic Optimization of the Electromagnetic Field in Organic Solar Cells.- 10th International Conference on “Electrical and Related Properties of Organic Solids and Polymer” (ERPOS’10) Institut d’Etudes Scientifiques de Cargese France July 10-15 (2005) PRODUCTIONS 261 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Thèses 2002 Aliouane N.- Transitions de phase alpha-beta dans le quartz et FePO4.- Thèse de Docteur de l'Université, Université de Toulon et du Var, 13 septembre 2002 Gagou Y.- Etude du changement de phase dans le composé PbK2LiNb5O15 de la famille des TTB.Thèse de Docteur de l'Université, Université de Toulon et du Var, mars 2002 Harabech N.- Modélisation, caractérisation et contribution à l’amélioration des performances des cellules mémoires non-volatiles de type EEPROM.- Thèse de Docteur, Ecole Nationale Supérieure des Télécommunications, Paris, 4 janvier 2002 Kussener E.- Conception de circuits intégrés de régulation intelligente pour les microprocesseurs sécurisées des cartes à puces.- Thèse de Docteur de l'Université, Université de Lille I, 3 juillet 2002 Mertz D.- Modélisation et simulation numérique du magnétisme de LiNiO2.- Thèse de Docteur de l'Université, Université de Provence, juin 2002 Paszko F.- Étude de la cinétique des phénomènes de diffusion réactive dans le procédé de galvanisation en continu des aciers.- Thèse de Docteur de l’Université, Université d’Aix – Marseille III, 25 octobre 2002 Portavoce A.- Mécanismes élémentaires de redistribution de l'antimoine au cours de la croissance d'hétérostructures Si/SiGe : diffusion, ségrégation, desorption, et effet surfactant.- Thèse de Docteur de l’Université, Université d’Aix – Marseille III, 11 octobre 2002 Gatto J.F.- Cellules solaires à champ électrique répulsif en face arrière au silicium cristallin.- Thèse de l’Université Paul Cézanne Aix-Marseille III, octobre 2002 2003 Ananou B.- Etude par résonance paramagnétique électronique de sédiments volcaniques du Trapp d’Ethiopie.- Thèse de Docteur de l’Université, Université d’Aix – Marseille III, 23 juin 2003 Casadei B.- Conception et réalisation d’un capteur en technologie CMOS pour l’observation d’impulsions lumineuses brèves voisines de 1ns.- Thèse de Docteur de l'Université, Université de Strasbourg, 7 mars 2003 Isa M.- Etude du mécanisme de nanostructuration oxydante de ZrAu : Application à la synthèse de nanocomposites or-zircone pour microcapteurs de gaz.- Thèse de Docteur de l’Université, Université de Toulon et du Var, 21 novembre 2003 Labbé A.- Conception de crypto-memoire basée sur les algorithmes à clé secrète et l'architecture des mémoires SRAM".- Thèse de Docteur de l'Université, Université de Provence, décembre 2003 Laffont R.- Modélisation et contribution à l'amélioration du fonctionnement des mémoires non volatiles.- Thèse de Docteur de l'Université, Université de Provence, 2 décembre 2003 Monfray S.- Etude et mise au point de l'architecture SON (Silicon-On-Nothing) pour applications MOS 0.1 et sub-0.1 µm.- Thèse de Docteur de l'Université, Université de Provence, 28 novembre 2003 Renard S.- Etude de la fiabilité de cellules mémoires EEPROM avancées.- Thèse de Docteur de l'Université, Université de Provence, juin 2003 Tatinian W.- Caractérisation et modélisation d'éléments passifs intégrés en technologies MOS pour applications radio-fréquences.- Thèse de Docteur de l'Université, Université de Provence, 16 décembre 2003 262 THESES RAPPORT D’ACTIVITE 2002 - 2006 Auriac N. .- Propriétés électriques de nanocavités crées par implantation d’ions helium et hydrogène.- Thèse de l’Université Paul Cézanne Aix-Marseille III, Mai 2003 Chovelon E.- Etude de l’influence de la microstructure, caractérisée par microscopie électronique en transmission et nano-usinage ionique (FIB), sur les propriétés mécaniques d’aciers bainitiques.Thèse de l’Université Paul Cézanne Aix-Marseille III, Décembre 2003 Drouard E.- Composants optiques micro - structurés par implantation d'ions.- Thèse de Doctorat Université Paul Cézanne d’Aix – Marseille III (8 juillet 2003) El Bouayadi R.- Interaction entre impuretés métalliques et cavités créées par implantation He haute énergie dans du silicium monocristallin.- Thèse de l’Université Paul Cézanne Aix-Marseille III, 12 Novembre 2003 2004 Aziza H.- Méthodologie de diagnostic et techniques de test pour les mémoires non volatiles de type EEPROM.- Thèse de Docteur de l'Université, Université de Provence, 30 novembre 2004 Bernardini S.- Modélisation des structures Metal-Oxyde-Semiconducteur (MOS) : Applications aux dispositifs mémoires.- Thèse de Docteur de l'Université, Université de Provence, 8 octobre 2004 Bescond M.- Modélisation et simulation du transport quantique dans les transistors MOS nanométriques.- Thèse de Docteur de l'Université, Université de Provence, 26 novembre 2004 Deleruelle D.- Etude de concepts et réalisation technologique de mémoires VLSI à contrôle de charge/décharge par phénomènes mono-électroniques.- Thèse de Docteur de l'Université, Université de Provence, 8 décembre 2004 Garros X.- Caractérisation et modélisation de l’oxyde d’hafnium comme alternative à la silice pour les futures technologies CMOS submicroniques.- Thèse de Docteur de l'Université, Université de Provence, 24 février 2004 Lopez L.- Modélisation et caractérisation de cellules mémoires eDRAM.- Thèse de Docteur de l'Université, Université de Provence, 17 décembre 2004 Meillère S.- Conception de circuits intégrés analogiques mode courant applicables aux systèmes de télécommunications.- Thèse de Docteur de l'Université, Université de Provence, 18 juin 2004 Menou N.- Technologie FeRAM : fiabilité et mécanismes de défaillance de condensateurs ferroélectriques élémentaires et intégrés.- Thèse de Docteur de l'Université, Université Sud Toulon Var, 10 décembre 2004 Nyeki J.- Transport de matière et composition chimique superficielle dans les alliages à fort potentiel industriel.- Thèse de Docteur de l'Université, Université d’Aix – Marseille III, 17 décembre 2004 Razafindramora J.- Modélisation et caractérisation de transistors MOS appliquées à l'étude de la programmation et du vieillissement de l'oxyde tunnel des mémoires EEPROM.- Thèse de Docteur de l'Université, Université de Provence, 17 décembre 2004 Trapes C.- Etude expérimentale des phénomènes de dégradation sous différents modes d'injection dans les oxydes ultra-minces (<5nm) pour la microélectronique.- Thèse de Docteur de l'Université, Université de Provence, 16 janvier 2004 Arcari A.- Recombination effects in semiconductors : development of advanced characterization techniques.- Thèse en co-tutelle,Université de Milan Bicocca, Université Paul Cézanne Aix-Marseille III.- 23 Mars 2004 Barakel D. .- Implantations d’ions par faisceau et par immersion dans un plasma dans du silicium. Applications aux cellules solaires.- Thèse de l’Université Paul Cézanne Aix-Marseille III, Mai 2004 PRODUCTIONS 263 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Leoni E.- About the origin of ligth emission from dislocations and oxide precipitates in silicon.These en co-tutelle, Université de Milan Bicocca, Université Paul Cézanne Aix-Marseille III.- 23 Mars 2004 2005 Aneflous L.- Systèmes nano- et micro-structurés à base de dioxyde de cérium et de sesqui-oxyde de néodyme : propriétés électriques et catalytiques des solutions solides Ce1-x Ndx O2-z. Thèse d'Etat, Université d'Agadir et Université Sud Toulon Var, 26 septembre 2005 Artigue O.- Modulation et démodulation de phase multi-symboles entièrement numériques pour carte à puce sans contact à 13,56 MHz.- Thèse de Docteur de l'Université, Université de Provence, 10 juin 2005 Bertaina S.- Résonance paramagnétique électronique de BaCu2Ge2O7 : matériau modèle pour l’étude des chaînes de spins quantiques avec interaction Dzyaloshinskii-Moriya.- Thèse de Docteur de l'Université, Université d’Aix – Marseille III, juillet 2005 Bienacel J.- Développement d'un procédé de nitruration par plasma des oxydes de grilles pour le noeud technologique 65nm.- Thèse de Docteur de l'Université, Université de Provence, 25 novembre 2005 Chmielowska M.- Étude de couches minces multiphasées CeO2-CuOz ou substituées Ce1-x NdxO2y obtenues par ablation laser : microstructure, texture, propriétés catalytiques et électriques.Thèse de Docteur de l'Université, Université de Cracovie et Université de Sud Toulon-Var, 5 décembre 2005 Dehaese N.- Etude et simulation d'un système sur puce radiofréquence pour les applications faible coût et faible consommation.- Thèse de Docteur de l'Université, Université de Provence, 8 décembre 2005 Delsuc B.- Modélisation, caractérisation et simulation des cellules EEPROM: Application au plan mémoire Thèse de Docteur de l'Université, Université de Provence, 21 octobre 2005 Denais M.- Etude des phénomènes de dégradation de type Negative Bias Temperature Instability (NBTI) dans les transistors MOS submicroniques des filières CMOS avancées.- Thèse de Docteur de l'Université, Université de Provence, 9 septembre 2005 Faik H.- Etude de l'implantation ionique dans les miroirs multicouches Mo/Si : application aux optiques diffractives.- Thèse de Docteur de l'Université, Université d’Aix – Marseille III, 21 janvier 2005 Forli L.- Test et diagnostic de défauts dans les interconnexions métalliques des circuits numériques par infrastructures IP.- Thèse de Docteur de l'Université, Université de Provence, 7 octobre 2005 Harrison S.- Dispositifs GAA en technologie SON : conception, caractérisation et modélisation en vue de l’intégration dans les nœuds CMOS avancés.- Thèse de Docteur de l'Université, Université de Provence, 14 avril 2005 Nemouchi F.- Réactivité de films nanométriques de nickel sur substrats silicium-germanium.Thèse de Docteur de l'Université, Université Paul Cézanne Aix-Marseille III, 30 novembre 2005 Ranica R. Etude de nouvelles architectures de mémoires volatiles pour les applications SOC à intégration ultime.- Thèse de Docteur de l'Université, Université de Provence, 27 octobre 2005 Saitzek S.- Systèmes multifonctionnels de nanomatériaux à base d'oxydes de cérium ou vanadium : capteurs gaz ou émetteurs IR.- Thèse de Docteur de l'Université, Université Sud Toulon-Var, 30 mars 2005 Zarbout K.- Etude des phénomènes de charge dans les matériaux céramiques soumis à une irradiation électronique : Influence de la microstructure et du dopage sur les propriétés de piégeage dans l’alumine.- Thèse de Docteur de l’Université, cotutelle Université d’Aix-Marseille III et Université de Sfax, 27 Septembre 2005 264 THESES RAPPORT D’ACTIVITE 2002 - 2006 Zhou B.- Study on two-layer convection and the influence of convection and vibration on directional solidification.- PhD in Basical Research, Chinese Academy of Sciences, Institute of Mechanics, juin 2005 Hamma-Cugny H.- Etude thermodynamique du système binaires de sulfates et hydrogénosulfates alcalins.- Thèse de l’Université Paul Cézanne Aix-Marseille III. Décembre 2005 Gailhanou H.- Détermination expérimentale des constantes thermodynamiques de minéraux argileux.- Thèse de l'Université Paul Cézanne Aix-Marseille III. Septembre 2005 Mazingue T.- Micro-systèmes pour la détection optique d’espèces chimiques ou bio-chimiques.Thèse de Doctorat Université Paul Cézanne d’Aix – Marseille III (21 septembre 2005) Rathgeb S.- Etude du comportement des capteurs photovoltaïques et photoconductifs soumis à un rayonnement laser IR dans la bande II : Application aux matériaux InAsSbP/InAs et PbSe.- Thèse de l’Université Paul Cézanne Aix-Marseille III, 2 Décembre 2005 Rivero C.- Contraintes mécaniques induites par les procédés de la microélectronique : développement des contraintes lors des réactions Co-Si et Ni-Si .- Thèse de l’Université Paul Cézanne Aix-Marseille III, 31 janvier 2005 Romano E.- Vibrational study of organic molecules grafted onto silicon.- Thèse en co-tutelle, Université de Milan Bicocca, Université Paul Cézanne Aix-Marseille III, Décembre 2005 Vervisch W.- Formation d’un complexe platine-oxygène dans les redresseurs rapides a base de silicium : conséquences sur leur fonctionnement.- Thèse de l’Université Paul Cézanne Aix-Marseille III, 9 Décembre 2005 2006 Ahoussou A.P.- Etude thermodynamique et des propriétés électriques de solutions vitreuses à base de SiO2-B2O3-P2O5.- Thèse de l'Université de Cocody, Abidjan, avril 2006 Ardhaoui K.- Contribution à l’etude des solutions solides britholites au Neodyme et au Lanthane.Thèse de l’Université de Tunis I, juillet 2006 Boa D.- Etude thermodynamique de systèmes ternaires et quaternaires à base de Fe-Sb.- Thèse de l'Université de Abobo Adjamé, Abidjan, avril 2006 Boulmani R.- Etude et réalisation d'un microcapteur à base d'oxyde de tungstène pour la détection d'ozone.- Thèse de Docteur de l'Université, Université Paul Cézanne Aix-Marseille III, 29 mars 2006 Bouquet V.- Emulation EEPROM des mémoires flash.- Thèse de Docteur de l'Université, Université de Provence, 5 mai 2006 Desbief S.- Nanostructuration par séparation de phase dans les monocouches binaires d'alkyltrichlorosilanes de grandes longueurs sur silicium.- Thèse de Docteur de l'Université, Université de Provence, 31 mars 2006 Gilibert F.- Modélisation des contraintes dans les dispositifs Si/SiGe basée sur l’analyse de la structure de bandes.- Thèse de Docteur de l'Université, Université de Provence, janvier 2006 Gomri S.- Spectroscopie du bruit electronique dans les microcapteurs de gaz : étude théorique et expérimentale.- Thèse de Docteur de l'Université, Université Paul Cézanne Aix-Marseille III, 17 juillet 2006 Idrissi H.- Etude et production de dislocations et de fautes d’empilement dans le SiC-4H dopé n par microscopie électronique en transmission.- Thèse de l’Université Paul Cézanne Aix-Marseille III, 28 avril 2006 Labidi A.- Etude de capteurs de gaz à base d'oxydes de tungstène (WO3) par spectroscopie d'impédance.- Thèse de Docteur de l'Université, Université Paul Cézanne Aix-Marseille III & Université de Tunis, 6 septembre 2006 PRODUCTIONS 265 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Loubens A.- Champ de déformation induit dans un monocristal par un réseau de dimensions submicroniques : Diffraction des rayons X en mode haute résolution et simulation par éléments finis.- Thèse de l’Ecole Nationale Supérieure des Mines de St Etienne, 16 janvier 2006 Megdiche M.- Développement des contraintes lors de la réaction entre un film mince de métal et un substrat de de Si : application aux systèmes Pd/Si (001) et Pd/Si(111).- Thèse de l’Université Paul Cézanne Aix-Marseille III, 24 mars 2006 Ngo K.A.- Etude d'un système multicapteur pour la détection sélective des gaz.- Thèse de Docteur de l'Université, Université Paul Cézanne Aix-Marseille III, 1er juin 2006 Payet F.- Modélisation et integration de transistors à canal de silicium contraint pour les noeuds technologiques CMOS 45nm et en deça.- Thèse de Docteur de l'Université, Université de Provence, 26 janvier 2006 Pin G.- Etude de procédés plasma basse énergie pour la préparation de surfaces de Silicium et le dépôt chimique en phase vapeur d'hétéro-structures Si/SiGe.- Thèse de Docteur de l'Université, Université d’Aix – Marseille II, 27 février 2006 Varlet H.- Etude par diffraction des rayons X et microscopie électronique en transmission de couches de GaInAs déposées sur substrat {111} vicinal : relation entre contraintes, structure et composition chimique.- Thèse de l’Université Paul Cézanne Aix-Marseille III, 17 Juillet 2006 266 THESES RAPPORT D’ACTIVITE 2002 - 2006 Habilitations à diriger des recherches 2002 Barthélemy H.- Circuits intégrés analogiques : convoyeurs de courant et applications.- Habilitation à diriger des recherches, Université de Provence, 2 décembre 2002 Houssa M.- Mécanismes de conduction et de dégradation des oxydes de grille ultra-minces et à haute constante diélectrique.- Habilitation à diriger des recherches, Université de Provence, 2 octobre 2002 Muller C.- Relations entre composition, structure et propriétés physiques d'oxydes ferroélectriques. Evolution vers les couches minces ferroélectriques intégrées dans les mémoires FeRAM.Habilitation à diriger des recherches, Université de Toulon et du Var, 20 décembre 2002 Périchaud I.- Effet getter et hydrogénation dans différents types de silicium cristallin.- Habilitation à diriger des recherches de l’Université Paul Cézanne Aix-Marseille III, 19 décembre 2002 Valmalette J.C.- Synthèses et propriétés physicochimiques de matériaux nanostructurés.Habilitation à diriger des recherches, Université de Toulon et du Var, 25 novembre 2002 2003 Canet P.- Dépôt et caractérisation de GaAsxSy et étude des dispositifs mémoires EEPROM.Habilitation à diriger des recherches, Université de Provence, 10 décembre 2003 Girardeaux C.- Transport atomique aux échelles nanométriques : rôle de la chimie des surfaces et interfaces.- Habilitation à diriger des recherches, Université d’Aix – Marseille III, 10 décembre 2003 Imbert B.- Le rayonnement infrarouge : de la mesure au contrôle non destructif.- Habilitation à diriger des recherches, Université de Provence, 9 janvier 2003 Masson P.- De la caractérisation/simulation des défauts électriquement actifs aux dispositifs mémoires.- Habilitation à diriger des recherches, Université de Provence, 24 octobre 2003 2004 Portal J.M.- Test en vue du diagnostic des composantes des systèmes sur puce.- Habilitation à diriger des recherches, Université de Provence, 29 novembre 2004 2005 Courmontagne P.- Décomposition de signaux aléatoires stationnaires et non-stationnaires.Habilitation à diriger des recherches, Université de Provence, 7 décembre 2005 Mangelinck D.- L'effet d'alliage dans les siliciures : mécanismes fondamentaux de croissance et stabilité - applications à la microélectronique.- Habilitation à diriger des recherches, Université Paul Cézanne Aix-Marseille III, 11 février 2005 Palais O.- Caractérisation électriques avec cartographies sans contact de semi-conducteurs : application à l’étude d’interaction impuretés-défauts.- Habilitation à diriger des recherches de l’Université Paul Cézanne Aix-Marseille III, 7 décembre 2005 2006 Bendahan M.- Couches minces actives et microsystèmes.- Habilitation à diriger des recherches, Université Paul Cézanne, 23 mai 2006 Goguenheim D.- Contribution à l'étude de la fiabilité des oxydes minces dans les structures Mos.Habilitation à diriger des recherches, Université de Provence, 23 janvier 2006 PRODUCTIONS 267 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Brevets Lenne P.-F., Escoubas L., Rigneault H., Lenne W., Giovannini H.- Dispositif de mesure des propriétés optiques de l’œil Brevet déposé à l'INPI n° FR 64002N, Société Luneau et CNRS, 2002 Mossoyan J.C., Mossoyan M.- Procédé de préparation de dérivés du pérylène tétracarboxylique diimide.- Brevet Université de Provence, n° 0213310, 24 octobre 2002 Pizzuto O., Laffont R., Mirabel J.M.- Procédé de fabrication d’une mémoire FLASH et mémoire ainsi fabriquée.- Brevet STMicroelectronics, n° 02-RO-250/016748FR, 2002, extension US en cours Portal J.M., Forli L., Aziza H., Née D.- Procédé de modélisation mathématique de composants électroniques et son utilisation pour la simulation, la détermination de géométrie et le diagnostic de défaut de ces composants.- Brevet STMicroelectronics, en cours de dépôt, 2002 Artigue O., Enguent J.P., Tételin C.- Démodulateur numérique à faible fréquence d'échantillonnage.- Brevet déposé en collaboration avec STMicroelectronics, référence IP STM 03RO-270, octobre 2003 Artigue O., Enguent J.P., Tételin C.- Démodulateur QAM numérique à faible ressource.- Brevet déposé en collaboration avec STMicroelectronics, référence IP STM 03-RO-270, octobre 2003 Bouchakour R., Laffont R., Mirabel J.M.- Cellule mémoire non volatiles à zone de stockage de charge préférentielle.- Brevet STMicroelectronics, n° 03-RO-458/ B6339, 2003 Laurent R., Vidal B., Perrocheau J., Faik H.- Substrat multicouches implanté à faible dose.- Brevet Ion Beam Service, n° de publication INPI 2.853.140, n° d'enregistrement 03.03830, date de dépôt 28 mars 2003 Mirabel J.M., Canet P., Laffont R., Razafindramora J., Bouchakour R.- Procédé de commande d’une mémoire électronique non volatile et dispositif associé.- Brevet STMicroelectronics, en cours de dépôt, 2003 Portal J.M., Aziza H., Née D.- Circuit de caractérisation des tensions de seuils dans les mémoires non volatiles sous format numérique et traitement en vue du diagnostic des données obtenues.Brevet, n° de dépôt 0306184, n° de dossier 03-RO-059, 2003 Tisserand S., Roux L., Reversat F., Jacob S., Drouard E., Escoubas L.- Adaptateur de mode pourvu de deux canaux distincts Brevet déposé à l'INPI n° FR 2836724, Silios Technologies, 2003 Bouchakour R., Laffont R., Masson P., Mirabel J.M., Regnier A.- Concept d'une nouvelle architecture mémoire EEPROM à double grille de contrôle.- Brevet STMicroelectronics et Université de Provence, n° 04-RO-197, 2004 Bourdel S., Dehaese N., Bachelet Y., Bas G.- Décodeur à démodulateur ZCD asynchrone.- Brevet STMicroelectronics - Université de Provence, n° 04 13868, 2004 Cavassilas N.- Crenel MOSFET.- Brevet CNRS et Université de Provence, n° 64277, 2004 Cheynet de Beaupré V., Zaid L., Rajajandraibe W., Bas G.- Oscillateur commandé en tension (VCO) compensé en température par le courant de polarisation.- Brevet STMicroelectronics SAS et Université de Provence, n° 04-RO-264, ref. FR04/09725, 2004 Mirabel J.M., Bouchakour R., Canet P., Laffont R., Razafindramora J.- Method of controlling an electronic non-volatile memory and associated device.- US Patent, n° 6.829.170, 7 December 2004 Barthélemy H., Bas G.- Circuit amplificateur à transconductance négative.- Brevet STMicroelectronics et Université de Provence, n° de dépôt 05292537.7- FR, 30 novembre 2004, extension aux Etats-Unis 2005 268 BREVETS RAPPORT D’ACTIVITE 2002 - 2006 Bergeret J., Gaubert J., Pannier P., Rizzo P.- Circuit intégré sans contact comprenant un circuit d’alimentation électrique à haut rendement.- Brevet STMicroelectronics et Université de Provence, n° de dossier 05-RO-313, n° de dépôt 05/12441, 8 décembre 2005 Cheynet de Beaupré V. , Lakhdar Zaid, W. Rahajandraibe, Bas G.- Dispositif à boucle à verrouillage de phase.- Brevet STMicroelectronics et Université de Provence, n° de dépôt 05 11813- FR, 22 novembre 2005 Cheynet de Beaupré V. , Lakhdar Zaid, W. Rahajandraibe, Bas G.- Dispositif à boucle à verrouillage de phase : VCO à gain variable.- Brevet STMicroelectronics et Université de Provence, n° de dépôt 05 12378-FR, décembre 2005 Escoubas L., Aguir K., Mazingue T., Flory F., Bendahan M., Forestier C.- Détecteur et procédé de détection optique et électrique d’au moins un gaz déterminé dans une atmosphère ambiante.Brevet déposé à l'INPI n° FR 2871573, Cybernetix et Université Paul Cézanne Aix-Marseille 3, 2005 Escoubas L., Mazingue T., Flory F., Forestier C.- Procédé de détection et détecteur optique de gaz Brevet déposé à l'INPI n° FR 2871571, Cybernetix et Université Paul Cézanne Aix-Marseille 3, 2005 Malherbe A., Kussener E., Telandro V.- Alimentation sécurisée d’un circuit intégré.- Brevet STMicroelectronics et Université de Provence, n° de dossier 50366 (05.RO.502), n° dépôt 0550366, 2005 Malherbe A., Kussener E., Telandro V.- Brouillage de la signature en courant d’un circuit intégré.Brevet STMicroelectronics et Université de Provence, n° de dépôt 0550367, 2005 Mirabel M., Régnier A., Bouchakour R., Laffont R., Masson P.- Floating gate MOS Transistor with double control gate.- Brevet STMicroelectronics - Université de Provence, Dépôt US n°11/155306, 17 juin 2005 Aguir K., Meolans J.G., Bendahan M., Martin-Demina I., Seguin J.L., Perrier P., Zeitoun D.Microsystème fluidique pour la détection des gaz.- Dépôt en cours, 2006 Palais O., Pasquinelli M Source de lumineuse étendue et électriquement modulable, dispositif de mesure pour caractériser un semi-conducteur comportant une telle source.- Brevet déposé à l'INPI n° 0650388, Université Paul Cézanne Aix-Marseille 3 06/02/2006 PRODUCTIONS 269 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Organisation de congrès International Conference on Nano-Structures Self-Assembling (NANOSEA) Congress Center, Aix-en-Provence, 2-6 July 2006 6th Symposium SiO2 Advanced Dielectrics and Related Devices Mondello, Palermo, Italy, 25-28 June 2006 Journée Diffusion, hommage à Patrick Gas Campus de Saint Jérôme, Marseille, 30 janvier 2006 6ème Colloque sur le Traitement Analogique de l'Information, du Signal et ses Applications (TAISA'05) Marseille, 13 et 14 octobre 2005 International Conference on Gettering and defects engineering in semiconductors technology Giens, France, 25-30 septembre 2005 10th International Conference on the Formation of Semiconductor Interfaces (ICFSI-10) Aix-en-Provence, 3-8 July 2005 1st International Conference on Memory Technology and Design (ICMTD) Giens, May 21-24, 2005 8èmes Journées Nationales du Réseau Doctoral de Microélectronique (JNRDM 2005) Paris 10, 11 ,12 mai 2005 18ème Journée Régionale de la Chimie, Société Française de Chimie 7 avril 2005, La Garde - Var Atelier thématique du GDR Relax - Diffusion, Réaction et Contraintes Marseille, 4 et 5 avril 2005 5th Symposium SiO2 Advanced Dielectrics and Related Devices Chamonix Mont-Blanc, France, June 21-23, 2004 7èmes Journées Nationales du Réseau Doctoral de Microélectronique (JNRDM 2004) Marseille, 4, 5 et 6 mai 2004 Journées "Electronique des Dispositifs Ultimes et Innovants" Club EEA - L2MP et CREMSI STUniversity, Fuveau, France, 25-26 mars 2004 Colloque CMC2 XXX Microcapteurs et Microréacteurs Club Microcapteurs Chimiques, Marseille, 24 Octobre 2003 Microscopies en Méditerranée (MIMEA 2003) 8ème Congrès de la Société Française des Microscopies et Société Italienne des Microscopies Université de Toulon (Var), 23-26 juin 2003 6ème Edition des Journées Nationales du Réseau Doctoral de Microélectronique Toulouse, 14,15 et 16 Mai 2003 Materials for Advanced Metallizations (MAM 2003) La Londe les Maures, France, 9-12 mars 2003 Surfaces nanostructurées : préparation, caractérisation et applications (NANO 2002) Ile de Porquerolles, 20 - 24 octobre 2002 Rencontres scientifiques et technologiques de l'UTV Cryptologie, cartes sans contact et nanotechnologies Université de Toulon et du Var, 25 octobre 2002 270 ORGANISATION DE CONGRES RAPPORT D’ACTIVITE 2002 - 2006 4th Symposium SiO2 AND ADVANCED DIELECTRICS September 16-18 2002, Trento, Italy 7ème Symposium International qur les Domaines Ferroiques et les Structures Mésoscopiques (ISFD7) Presqu'île de Giens (Var), 15 - 19 Septembre 2002 Onzième édition des Journées de la Neutronique (JDN11) Ecole Thématique"Structure et Dynamique des Systèmes Désordonnés" 11èmes Rencontres Rossat-Mignod Presqu'île de Giens (Var), 25 au 31 Mai 2002 Cinquième édition des Journées Nationales du Réseau Doctoral de Microélectronique Grenoble (Isère), 23, 24 et 25 avril 2002 Cycle de conférences à la Faculté Saint Charles, Section Locale de la Sociéte Française de Physique Mars-juin 2002 Forum des Microscopies à Sonde Locale Spa (Belgique), 25-29 mars 2002 Colloque annuel du GFCC (Groupe Français de Croissance Cristalline) Toulon (Var) 13, 14 et 15 mars 2002 "Physique 13 en clips", Section Locale de la Sociéte Française de Physique Marseille, mercredi 23 janvier 2002 PRODUCTIONS 271 RAPPORT D’ACTIVITE 2002 - 2006 5. Relations scientifiques Réseaux de coopération internationale Projets et contrats de recherche • Europe • FEDER • France (ANR, ACI, Etat, Organismes publics, Collectivités) • Contrats industriels Communication et vulgarisation 273 RAPPORT D’ACTIVITE 2002 - 2006 Réseaux de coopération internationale Autran J.L. (responsable pour le L2MP).- Réseau d'Excellence (REX) SINANO - Silicon based Nano devices.- Programme Européen, IST-506844, 2004-2006 Berbezier I. (responsable pour le L2MP).- Network SiGeNET (Sigec nanostructures, a new path to silicon based optoelectronics).- Programme Européen, 2004-2006 Billia B. et Bergman C. (responsable pour le L2MP).- Réseau d'Excellence (REX) CMA - Complex Metallic Alloys.- Programme Européen (J−M. Dubois, coordinateur), 2004-2006 Pichaud B.- STREP NANOPHOTO (Nanocrystalline silicon films for photovoltaic and optoelectronic applications).- Programme Européen, 2005-2008 Thomas O.- Réseau RMNT STRESSNET : Développement d’une méthodologie pour améliorer la maîtrise des contraintes mécaniques dans les micro- et nano-technologies, 2003-2006 Collaborations externes Les principales collaborations scientifiques et les participations à des GDR sont rappelées par chacune des équipes de recherche sur sa fiche de présentation signalétique (cf. 2 - Bilan scientifique). RELATIONS SCIENTIFIQUES 275 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Projets et contrats de recherche (hors contrats CIFRE) • Europe Aguir K.- MICROPOLY.- Projet Fonds Social Européen, 2005-2008 Autran J.L., Munteanu D.- Contrat européen IST-2001-37114 (Université de Provence n°15244), "NESTOR : New 30 nm multi-gate fully depleted silicon transistors", 2004 Autran J.L., Munteanu D., Castellani K., Sudre C.- ROBust desIgN (ROBIN) for efficient use of nanometer technologies.- Contrat européen MEDEA+-2A704, 2005-2008 Autran J.L. (responsable pour le L2MP).- NANOCMOS, from the 45nm node down to the limits. CMOS backbone for 2010 e-Europe.- Contrat européen IST-507587, 2004-2006 Bergman C. (responsable pour le L2MP).- Programme COST 531, European Cooperation in field of Technical and Scientific Research.- Programme européen, 2002-2006 Berbezier I. (responsable pour le L2MP).- Projet IST (5ème PCRD) FORUMFIB : fabrication de mémoires à nanocristaux.- Programme européen, 2003-2006 Billia B.- CETSOL (Columnar – Equiaxed transition in Solidification Processing) Dynamique de formation et contrôle de la microstructure de solidification d’alliages 3D : Références diffusives et effets de la convection.- Agence Spatiale Européenne, Contrat MAP CETSOL, Phase II, 2001 - 2006 Gillet E.- Projet STREP (6ème PCRD) NanoChemSens : Nanostructures for chemical sensors.Contrat européen N° NMP4-CT-2003-505895, L2MP Université Paul Cézanne, 1er mars 2004 - 1er mars 2007 Girardeaux C.- Dopants.- Projet Fonds Social Européen, 2005-2008 Muller C. (responsable pour le L2MP).- Projet IST (5ème PCRD) FLEUR - FerroeLectric for EURope, Contrat européen en partenariat avec IMEC, ST Microelectronics et Gemplus, 2001-2004 Muller C.- Projet STREP IST (6ème PCRD) EMMA - Emerging Materials for Mass Storage Architectures.- Contrat européen, 2006-2009 Perez A. (responsable pour le L2MP).- Projet OPPIDUM SSC (responsable et coordonnateur : ESIA).- Contrat européen, 2004-2005 Pichaud B.- Dislocation luminescence in silicon.- Contrat INTAS, Ioffe Inst. St Petersbourg, IMT Chernogolovka , Univ. Milano Bicocca, Univ. Bologne juin 2003 - juin 2006 • FEDER Aguir K., Gas P.- Opération "Plafonds soufflants".- Fonds Européen de Développement Régional, 2004 Aguir K., Gas P.- Opération "Systèmes de pulvérisation cathodique".- Fonds Européen de Développement Régional, 2004 Autran J.L.- Opération "Pic de Bure".- Fonds Européen de Développement Régional, 2005-2007 Autran J.L., Munteanu D., Castellani K.- Caractérisation des circuits CMOS avancés sous rayonnement radiatif naturel en altitude sur le site du Pic de Bure.- Projet de recherche financé par le Conseil Général des Hautes Alpes, la Région Provence Alpes Côte d’Azur et la Commission Européenne (fonds FEDER), 2004-2005 276 PROJETS & CONTRATS RAPPORT D’ACTIVITE 2002 - 2006 • Agence Nationale de la Recherche (ANR) Autran J.L.- MODERN.- Projet ANR, 2005-2008 Berbezier I. (coordinatrice).- MEMOIRE. Programme National en Nanosciences et Nanotechnologies (PNANO).- Projet ANR, 2006-2008 Munteanu D.- MULTIGRILLES.- Projet ANR, 2005-2008 Palais O.- Cellules solaires tandem silicium cristallin/silicium nanocristallin.- Programme ANR 2006 Duosil , CEA, LPM Lyon, LCMTR Thiais, TECSEN, janvier 2007-décembre 2009 Palais O., Pichaud B.- Détection et suivi de feux de forêt.- Programme FIRE, inter pôles de compétitivité SCS-Sécurité, Soc. SPS, Soc. CRYPTIRIS, Université Paul Cézanne (TECSEN), Université de Provence (IUSTI), Juin 2006Pasquinelli M.- Jonctions innovantes au silicium multicristallin.- Programme PV – ANR 2005, Phare Janvier 2006- Décembre 2008 Patrone L.- MULTIGRILLES.- Projet ANR, 2005-2008 Périchaud I.- Interaction silicium carbone lors de l’élaboration du silicium photovoltaïque par voie liquide.- Programme ANR 2006 Siclade, Soc. INVENSIL, Soc. Carbone-Loraine, CEA, LTPCM Grenoble, TECSEN, Janvier 2007-Décembre 2009 Porte L.- CRISTALMOL 2D.- Projet ANR, 2005-2008 Regula G.- Etude et application des nanocavités fonctionnelles induites dans le silicium et SiO2 par implantation de gaz rares (He, Xe).- ANR blanche NANOCAFON, CSNSM Orsay, LESI Orléans, LCMTR Thiais, TECSEN, Janvier 2006- Décembre 2008 Thomas O.- Contrôle microstructural des métaux aux dimensions nanométriques. Application à la maîtrise de la résistivité dans les interconnexions en microélectronique .- ANR CRISTAL 2006, Janvier 2007 - Décembre 2009 • ACI Aguir K. (coordinateur).- NELI : Vers le nez électronique intégré. Réalisation des microcapteurs et multicapteurs et analyse du signal et du spectre de bruit.- Projet CNRS, FNS, INRS, 2005-2007 Aguir K.- Energie et conception durable.- ACI CNRS, 2004-2007 Lannoo M.- Nanosciences.- ACI CNRS, 2003-2004 • Ministères Autran J.L.- ROBIN : ROBust desIgN for efficient use of nanometer technologies.- Convention Ministère de l’Economie, des Finances et de l’Industrie,.n° 05-2-93-0215 relative à la première année du contrat européen MEDEA+-2A704, 2005 Autran J.L.- Projet MEMIS.- MENR, 2003-2005 Autran J.L.- NANO2008 : 3e année du projet de recherche Crolles de développement de filières nanoélectroniques.- Convention Ministère de l’Economie, des Finances et de l’Industrie n° 05-2-93, 2005 Autran J.L., Munteanu D.- CMOSDALI : Architecture CMOS à double-grille auto-alignée.Convention Ministère de la Recherche n° 02M0878 (projet RMNT n° 113 – Université de Provence n°32509), 2003 RELATIONS SCIENTIFIQUES 277 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Gavarri J.R.- Nanomatériaux et couches minces pour capteurs et mémoires.- Projet POLONIUM (échanges de chercheurs) avec l'Académie des Mines et de la Métallurgie de Cracovie, ref. 04 444 ZD, 2002 Munteanu D., Autran J.L.- MEMIS : mémoire magnétique intégrée sur silicium.- Convention Ministère de la Recherche n° 02M0878 (projet RMNT n° 122 – CNRS n° 500-222), 2003 Munteanu D., Autran J.L., Cavassilas N., Bescond M., Payet F., Tintori O.- Rapport final NANO2008 : projet de recherche Crolles de développement de filières nanoélectroniques.- Convention Ministère de la Recherche n° 04Z294, 2004 Munteanu D., Harrison S. Autran J.L.- NANO2008 : 2e année du projet de recherche Crolles de développement de filières nanoélectroniques.- Convention Ministère de la Recherche n° 04Z294, 2004 Rogez J.- Matériaux à base de phosphates pour le stockage des produits de fission. Etude thermodynamique et structurale.- Convention CMCU Franco-Tunisienne n° 03/S1207, Université de Tunis, Université de Bizerte, Université de Toulon, CNRS-TECSEN, 2002- • Organismes publics Billia B.- Dynamique de formation et contrôle de la microstructure de solidification d’alliages 3D : Références diffusives et effets de la convection.- Contrat Centre National d’Etudes Spatiales, 20042005 Billia B.- Dynamique de formation et contrôle de la microstructure de solidification d’alliages 3D : Références diffusives et effets de la convection.- Centre National d’Etudes Spatiales, 2004-2005 Charaï A., Saikaly W.- Etude par microscopie électronique en transmission de la modification de la structure du carbone dans les matériaux pour la fusion soumis au bombardement par l'hydrogène.Convention V 3437.001 Euratom / CEA N° EUR 344-88 A FUA F, Janvier 2005- janvier 2008 Martinuzzi S. (Jusqu’en Juin 2006), Périchaud I. (à partir de Juin 2006).- Caractérisation du silicium métalurgique raffiné et purifié par double ségrégation et torche à plasma.- Programme ADEME Photosil, Novembre 2005 –Novembre 2007 Martinuzzi S.- Augmentation du rendement de conversion de cellules solaires au silicium multicristallin.- Programme quadriannuel PV -16 Erbaphot , CNRS – ADEME, Juin 2000-Juin 2004 Martinuzzi S.- Caractérisation de nouveaux lingots de silicium multicristallin de300 Kg ; Métrologie : détermination de la vitesse de recombinaison superficielle de cellules texturées dont la surface avant est passivée par des couches de SiO2 ou de Si-N-H. Caractérisations éléctriques en ligne.- Programme Reducop1 CNRS-ADEME, Société Photowatt, Aout 2004-Juin 2006 Martinuzzi S.- Réalisation et caractérisation de cellules solaires au silicium multicristallin de type N : cellules à jonction enterrée, cellules à contacts interdigités en face arrière. Influences de l’interaction impuretés-défauts sur les propriétés volumiques et superficielles.- Programme Sinergies CNRS- ADEME-CEA , Juin 2004 –Décembre 2005 Moya G.- Faisabilité d'une étude thermodynamique portant sur une céramique de confinement chargée.- Contrat CEA-Saclay, n°4000028623/P 3053, 2003 Moya G., Moya-Siesse D.- Faisabilité d'une étude thermodynamique sur des zircones dopées irradiées.- Contrat CEA-Saclay, n° 40000286223/P3053, 2002 Munteanu D.- Convention de recherche CEA-DAM – Université de Provence, n° en cours, 2002 Munteanu D.- Simulation du comportement sous irradiation de dispositifs décanométriques à architecture multi-grilles.- Contrat CEA-DAM, ref. CEA/DIF n° 4600110681/P6H43, Munteanu D., Autran J.L.- Ballistic deca-nanometric CMOS components : from the device to the integrated circuit.- Programme International de Coopération Scientifique CNRS-EPFL (Lausanne) – PICS n° 1950, 2003 Munteanu D., Autran J.L.- Etude et calibrage du modèle hydrodynamique pour la simulation avancée de dispositifs SOI submicroniques.- Contrat de recherche CEA-DAM (Bruyères-Le-Châtel) – Université de Provence n°15236, 2003 278 PROJETS & CONTRATS RAPPORT D’ACTIVITE 2002 - 2006 Munteanu D., Castellani K., Autran J.L.- Rapport final.- Contrat de recherche CEA-DAM (BruyèresLe-Châtel) – Université de Provence n°15233, " Etude et calibrage du modèle hydrodynamique pour la simulation avancée des dispositifs SOI complètement déplétés", octobre 2004. Palais O.- Caractérisation de nouveaux lingots de silicium multicristallin de300 Kg ; Métrologie : détermination de la vitesse de recombinaison superficielle de cellules texturées dont la surface avant est passivée par des couches de SiO2 ou de Si-N-H. Caractérisations éléctriques en ligne Programme Reducop2 CNRS-ADEME, Société Photowatt, Décembre 2006 -Décembre 2008 Rogez J.- Caractérisation thermodynamique de la structure hollandite dédiée au stockage du Cs.GdR Nomade , CEA Marcoule-CNRS, 2002-2006 Rogez J.- Détermination expérimentale des propriétés thermodynamiques et étude des nanostructures de minéraux argileux.- Convention de recherche Calorimar - 2002PUB030, BRGMANDRA-CNRS(CRMC-N/TECSEN) 2002-2005 Rogez J.- Etude calorimétrique d'accumulateurs au lithium.- Contrat CEA CENG-CNRS, Février 2006-Juillet 2006 Rogez J.- Etude calorimétrique du silicate de hafnium. .- Contrat CEA LETI-CNRS, 2003Rogez J.- Etude calorimétrique du système Fe-Zr.- Contrat n°4000106038, CEA Saclay-CNRS, 2004-2007 Rogez J.- Etude calorimétrique du système U-Mo-Al.- Contrat CEA Cadarache-CNRS, Septembre 2005-Décembre 2006 • Collectivités (Région, Conseil Général) Barthélemy H., Bourdel S., Courmontagne F., Dehaese N., Gaubert J., Pannier Ph., Zaid L., Rahajandraibe W., Egels M., Fraschini C, Cheynet de Beaupré V., Dehaese N.- Chaîne de communication RF 2.4 GHz.- Convention CG13-ST-L2MP, n° 2004-Lab1, Phase 2- PS23, avril 2004 à mars 2005 Barthélemy H., Portal J., Mercier O.- Evaluation des blocs critiques ‘mixed signal’/RF embarqués (SOC complexes).- Convention CG13-ST-L2MP, n° 2004-Lab1, Phase 2- PS50, avril 2004 à mars 2005 Bouchakour R, Laffont R, Masson P.- Mémoires CMOS logic/NVM, simulation et optimisation.Convention CG13 n° 2005-LAB1-Phase3, ST Microelectronics – L2MP, 2005/2006 Bouchakour R., Laffont R., Masson P., Régnier A., Ragad H.- Mémoires alternatives.- Convention CG13 phase 1 n° 2003-1-LAB2, ST Microelectronics - L2MP, 2003-2004 Bouchakour R., Masson P., Canet P., Laffont R., Lalande F.- Mémoires CMOS logic/NVM.Convention CG13 phase 1 n° 2003-1-LAB2, ST Microelectronics - L2MP, 2003-2004 Bouchakour R., Masson P., Laffont R., Regnier A., Ragad H.- Optimisation et modélisation de mémoires NVM.- Convention CG13 n° 2005-LAB1-Phase3, ST Microelectronics – L2MP, 2005/2006 Bouchakour R., Ragad H., Masson P., Gaz P., Mangelink D.- Mémoires NV, matériaux (thème: Technologie des Mémoires).- Contrat CG13 phase 2 : ST Microelectronics – L2MP Marseille – LPMINSA Lyon, 2004/2005, 2004-2005 Bouchakour R., Ragad H., Masson P., Laffont R., Canet P., Lalande F.- Mémoires CMOS logic / NVM, simulation et optimisation (thème: Technologie des Mémoires).- Contrat CG13 phase 2 : ST Microelectronics – L2MP Marseille – LPM-INSA Lyon, 2004-2005 Canet P., Bouquet V., Mariéma N., Delsuc B., Lalande F., Bouchakour R.- Page Flash.- Convention CG13 n° 2005-LAB1-Phase3, ST Microelectronics – L2MP, 2004/2005 Canet P., Bouquet V., Mariéma N., Lalande F., Bouchakour R.- Mémoire Flash effaçable par page (thème: Emulation EEPROM à partie de Flash).- Contrat CG13 phase 1 : ST Microelectronics – L2MP Marseille – LPM-INSA Lyon, 2003-2004 Canet P., Bouquet V., Mariéma N., Lalande F., Bouchakour R.- Page Flash (thème: Conception Mémoire).- Contrat CG13 phase 2 : ST Microelectronics – L2MP Marseille – LPM-INSA Lyon, 20042005 RELATIONS SCIENTIFIQUES 279 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Canet P., J. Razafindramora, F. Lalande, B. Delsuc, R. Bouchakour.- Design cellule EEPROM et intégration (thème : mémoires).- Contrat CG13 phase 1 : ST-Microelectronics/L2MP-Polytech Marseille, en collaboration avec LPM-INSA Lyon, 2003-2004 Canet P., Lalande F., Boutahar S., Delsuc B., Bouchakour R.- Optimisation cellule EEPROM.Convention CG13 n° 2005-LAB1-Phase3, ST Microelectronics – L2MP, 2004/2005 Canet P., Razafindramora J., Lalande F., Delsuc B., Bouchakour R.- Optimisation cellule EEPROM (thème: Technologie des Mémoires).- Contrat CG13 phase 2 : ST Microelectronics – L2MP Marseille – LPM-INSA Lyon, 2004-2005 Casadei B., Dufaza C., Martin L.- Intégration capteur et électronique sur System On Chip.Convention CG13/STMicroelectronics/L2MP, ref. 2004-Lab1, Phase 2-PS28, avril 2004 - mars 2005 Girardeaux C., Portavoce A., Mangelinck D. et Bergman C.- Caractérisation des dopants Développement de méthodes pour l’étude des jonctions et des phénomènes de redistribution dans les dispositifs sub-microniques avancés.- Projet de recherche et développement intégré à la plateforme caractérisation de CIMPACA, 2005 Goguenheim D., Bravaix A., Trapes C.- Dégradation des oxydes de 1.5 nm à 2.5nm suite à des injections uniformes et en porteurs chauds.- Projet RMNT Ultimox, rapport annuel n°3, mars 2003 Kussener E., Coumontagne P, Telelin C., Telandro V., Chaillan F, Guiges F., Tramoni A.- Traitement analogique pour conversion d’énergie sécurisée.- Convention CG13-ST-L2MP, n° 2004-Lab1, Phase 2- PS23, avril 2004 à mars 2005 Mangelinck D., Gas P., Simola R., Perrin-Pellegrino C.- Métallisation.- Convention CG13 phase 1 n° 2003-1-LAB2, ST Microelectronics - L2MP, 2003-2005 Mangelinck D., R. Simola, A. Portavoce, Gas P.- Technologie Mémoires : Mémoires NV, matériaux.Convention CG13 : STMicroelectronics - L2MP, 2003-2005 Martinuzzi S.- Réalisation et caractérisation de jonctions p-n ultra peu profondes par dopage superficiel par implantation d’ions BF3 par immersion dans un plasma.- Contrat CREMSI PV70 Société IBS, PACA, CG13, Juin 2003-Juin 2006 Masson P., Bouchakour R., Laffont R., Régnier A., Ragad H.- Mémoires altérnatives (thème: Technologie des Mémoires).- Contrat CG13 phase 2 : ST Microelectronics – L2MP Marseille – LPMINSA Lyon, 2004-2005 Masson P., Laffont R., Regnier A, Ragad H.- Optimisation, modélisation et caractérisation de mémoires alternatives.- Convention CG13 n° 2005-LAB1-Phase3, ST Microelectronics – L2MP, 2005/2006 Masson P., Portal J.M.- Modèle et Méthode de test embedded DRAM (thème: test).- Contrat CG13 phase 2 : ST Microelectronics – L2MP Marseille – LPM-INSA Lyon, 2004-2005 Masson P., Raymond L., Régnier A., Lopez L., Cuinet X.- Modélisation oxyde mince.- Convention CG13 phase 1 n° 2003-1-LAB2, ST Microelectronics - L2MP, 2003-2004 Ottaviani L., Pichaud B.,.- Optimisation des procédés de fabrication de composants haute tension à base de Carbure de Silicium.- Contrat CREMSI PV82, Société IBS, PACA, CG13, juin 2004-juin 2007 Pannier P., Gaubert J., Bergeret E, Margalef A.- Etiquette UHF.- Convention CG13-ST-L2MP, n° 2004-Lab1, Phase 2- PS0607, avril 2004 à mars 2005 Pic D., Lopez L., Goguenheim D.- Structures et méthodes de test électriques industriels adaptés à la caractérisation d’oxydes « tunnel » ultra-minces - Fiabilité des mémoires non volatiles.Convention L2MP-CG13 n° 2004-LAB1-Phase2,dans le cadre du contrat ST-L2MP,2004-2005, Rapport final, mars 2005 Portal J.M.- Méthode de test EEPROM (thème: Test).- Contrat CG13 phase 2 : ST Microelectronics – L2MP Marseille – LPM-INSA Lyon, 2004-2005 Portal J.M.- Méthode de test Flash (thème: Test).- Contrat CG13 phase 2 : ST Microelectronics – L2MPMarseille – LPM-INSA Lyon, 2004-2005 Portal J.M.- Méthode de test logic (thème: Test).- Contrat CG13 phase 2 : ST Microelectronics – L2MP Marseille – LPM-INSA Lyon, 2004-2005 280 PROJETS & CONTRATS RAPPORT D’ACTIVITE 2002 - 2006 Portal J.M., Aziza H.- Méthodologies de test embedded NVM (opération : Mémoires).- Convention CG13 phase 1 n° 2003-1-LAB2, ST Microelectronics - L2MP, 2003 Portal J.M., Aziza H., Saillet B.- Méthodologies de test embedded NVM.- Convention CG13 2005-LAB2-Phase3, ST Microelectronics – L2MP, 2004/2005 Portal J.M., Forli L.- Méthodes de test logique.- Convention CG13 Microelectronics – L2MP, 2004/2005 n° n° 2005-LAB2-Phase3, ST Portal J.M., Forli L.- Méthodes de test logic (opération : Mémoires).- Convention CG13 phase 1 n° 2003-1-LAB2, ST Microelectronics - L2MP, 2003 Portal J.M., Lopez L.- Méthodologies de test embedded DRAM (opération : Mémoires).- Convention CG13 phase 1 n° 2003-1-LAB2, ST Microelectronics - L2MP, 2003 Puzenat N., Goguenheim D.- Métrologie cuivre hors ligne et fiabilité des interconnexions.Convention L2MP-CG13 n° 2004-LAB1-Phase2,dans le cadre du contrat ST-L2MP,2004-2005, Rapport final, mars 2005 Thomas O.- Puces Ultra-Fines : amincissement, manipulation et assemblage.- Projet PUF – CIMPACA MicroPacks, 2006-2009 • Contrats industriels Aguir K., Lauque P.- Mesures de résistivité et de rigidité diélectrique sur des résines et gels isolants.- Contrat CARMA, 2002 Autran J.L.- Contrat de recherche ALTIS Semiconductor (Corbeil-Essonnes) – Université de Provence n° 15123, 2002 Autran J.L.- Convention STMicroelectronics (Rousset) – Université de Provence n° 15124, 2002 Autran J.L.- Convention STSI de soutien de l'Etat à des actions de développement technique et d'innovation, par voie de subvention n° 01.2.93.0570 – Université de Provence n° 15209, 2002 Autran J.L.- Convention STSI de soutien de l'Etat à des actions de développement technique et d'innovation, par voie de subvention n° 01.2.93.0796 – Université de Provence n° 15212, 2002 Autran J.L., Cavassilas N.- Stained silicon devices.- Convention Alliance STMicroelectronics-PhilipsMotorola NANO 2008, Ministère de l’Industrie n° 03.2.93.0673, 2003 Bouchakour R.- Programme Rousset.- 2003-2008 Bouchakour R. , Perez A., Mangelinck D., Putero M., Lalande F., Canet P., Rahajandraibe W., Zaid L.- Programme de recherche ATMEL-EREVNA.- 2005-2008 Bravaix A.- Contrat ST Crolles H9/H10, rapport final, août 2004. Bravaix A., Di Gilio T., Goguenheim D.- Worst-case of the hot-carrier degradation between GO1GO2 P-MOSFETs, J203YB2 07A0 wafer.- ST Microelectronics, rapport juillet 2003 Bravaix A., Di Gilio T., Goguenheim D.- Post-stress recovery in HC9L7 PMOSFET's J203YB2 07A0 wafer.- ST Microelectronics, rapport mars 2003 Bravaix A., Di Gilio T., Goguenheim D.- Hot-carrier degradation in GO2 P-channel MOSFETs, J203YB2 07A0 wafer.- ST Microelectronics, rapport POR610, janvier 2003 Bravaix A., Goguenheim D.- Fiabilité porteurs chauds des filières STCrolles2 Alliance CMOS C90 et C65, G01-G02.- Contrat ISEN-Toulon 2005, déc-05 Canet P., Bouchakour R.- Caractérisation d'une technologie dédiée aux applications de type VI power : M0C5.- Contrat ST Microelectronics, 2002 Canet P., Bouquet V., Bouchakour R.- Emulation d'une EEPROM en technologie flash (opération : modélisation et simulation de composants et circuits).- Convention STSI n° 02-2-93-0702 (collaboration ST Microelectronics,LPM-INSA-Lyon,L2MP-Polytech), 2002-2003 RELATIONS SCIENTIFIQUES 281 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Canet P., Lalande F., Bouchakour R.- Elaboration d'une cellule mémoire non volatile de type EEPROM.- Contrat Inside Contactless, 2002 Canet P., Lalande F., Bouchakour R., Delsuc B., Portal J.M.- Programme de réduction de la taille de la cellule mémoire et analyse de la programmation de la cellule mémoire (opération : modélisation et simulation de composants et circuits).- Convention STSI n° 02-2-93-0702 (collaboration ST Microelectronics,LPM-INSA-Lyon,L2MP-Polytech), 2002-2003 Canet P., Lalande F., Bouchakour R., Razafindramora B.- Validation du layout et analyse de la dispersion des paramètres (opération : Fiabilité, vieillissement des technologies CMOS et NVM).Convention STSI n° 02-2-93-0702 (collaboration LPM-INSA-Lyon,L2MP-Polytech), 2002-2003 Cavassilas N., Payet F., Autran J.L.- Modélisation et développement de transistor à effet de champ à canal de silicium contraint.- Contrat de recherche STMicroelectronics (Crolles) – CNRS n° 1MA172, 2003 Charaï A.- Etude par microscopie électronique à transmission de la précipitation et de la microstructure d’aciers multiphasés.- Contrat ARCELOR-TECSEN N°200142, Décembre 2002Charaï A.- Productive and Advanced Transmission electron microscopy.- Contrats annuels collaboration laboratoire & ST Microelectronics (Rousset 2003-2008).- SM08 Courmontagne P., Robert-Inacio F.- Rapport 2003 de contrat.- Contrat JESSICA - AT21, septembre Gavarri J.R.- Emissivité dans l'IR de dioxydes de vanadium thermochromes.- Contrat DassaultAviation, ref. 2002-01-VO2-UTV, 2002 Goguenheim D., Bravaix A., Trapes C.- Structure et méthodes de test d'oxyde "tunnel" permettant de garantir la fiabilité du produit.- Convention STSI n° 02-2-93-0702 : ST Microelectronics – L2MPPolytech Marseille, 2002-2003 Goguenheim D., Bravaix A., Trapes C.- WLRC: Etude et analyse du phénomène de Wafer Charging.- Convention STSI n° 02-2-93-0702 : ST Microelectronics – L2MP-Polytech Marseille, 2002-2003 Goguenheim D., C. Muller, N. Nenou, R. Bouchakour.- Etudes alternatives mémoire : Feram.Convention STSI n° 02-2-93-0702 : ST Microelectronics – L2MP-Polytech Marseille, 2002-2003 Goguenheim D., Rudolff F.- Optimisation d’une méthodologie de détection de charges mobiles dans les capteurs de pression.- Contrat THALES, rapport final, septembre 2004. Goguenheim D., Tételin C., Artigue O., Kussener E., Telandro V.- Rapport final. Lot 17 : Fiabilité, Vieillissement des technologies CMOS et NVM, Opération : Développement des méthodologies de test électrique pour l’étude et la caractérisation de la fiabilité des étapes d’interconnections en technologie sub-micronique.- Convention STSI phase 6, novembre 2003 Goguenheim D., Tételin C., Artigue O., Kussener E., Telandro V.- Rapport final. Lot 19 : Conception et test de circuits intégrés. Etude de convertisseur d’alimentation (DC/DC) à forte efficacité. Référence de tension. Pompes de charges. Générateur d'horloge. Implémentation et résultats.Convention STSI phase 6, novembre 2003 Goguenheim D., Tételin C., Artigue O., Kussener E., Telandro V.- Rapport final. Lot 19 : Modulations et démodulations numériques appliquées aux cartes à puce sans contact à 13,56 MHz : Augmentation de l’indice de modulation des communications, Nouvelle communication carte vers lecteur, Nouvelle architecture lecteur.- Convention STSI phase 6, novembre 2003 Guinneton F., Gavarri J.R.- Study of coated pigments of vanadium dioxide.- Convention Ciba Specialty Chemicals SpA – L2MP, ref AD.PACA-USTV- FEV-2004- 001, 2004-2005 Houssa M.- Convention STMicroelectronics (Crolles) – Université de Provence, n° en cours, 2002 Kussener E., C. Tételin, P. Courmontagne, S. Meillère, F. Robert-Inacio, D. Goguenheim.Convention ST/L2MP 2003/2004, rapport final, avril 2004. Mangelinck D., Gas P.- Convention STSI n° 02-2-93-0702, L2MP-STMicroelectronics, 2002-2003 Mangelinck D., Gas P., Putero-Vuaroqueaux M., Nemouchi F.- Métallisation.- Convention STSI phase 6 n° 03-2-93-02-95 : ST Microelectronics – L2MP-Polytech Marseille, LPM-INSA Lyon, 2003 282 PROJETS & CONTRATS RAPPORT D’ACTIVITE 2002 - 2006 Masson P., Bernardini S.- Matériaux pour filières avancées, opération oxydes minces.- Convention STSI phase 6 n° 03-2-93-02-95 : ST Microelectronics – L2MP-Polytech Marseille, LPM-INSA Lyon, 2003 Masson P., L. Lopez, S. Bernardini, R. Bouchakour.- e-DRAM : développement d’un modèle de mémoire (opération : Mémoire NVM).- Convention STSI n° 02-2-93-0702 : ST Microelectronics – L2MP-Polytech Marseille, 2002-2003 Masson P., Laffont R.- Nouvelles méthodes de caractérisation pour mémoire Flash (opération : Filière NVM).- Convention STSI n° 02-2-93-0702 : ST Microelectronics – L2MP-Polytech Marseille, 2002-2003 Masson P., Laffont R.- Fiabilité, opération WLRC (nouvelles méthodes de caractérisation).Convention STSI phase 6 n° 03-2-93-02-95 : ST Microelectronics – L2MP-Polytech Marseille, LPMINSA Lyon, 2003 Masson P., Lopez L., Bouchakour R.- Modélisation, opération filière MOS.- Convention STSI phase 6 n° 03-2-93-02-95 : ST Microelectronics – L2MP-Polytech Marseille, LPM-INSA Lyon, 2003 Masson P., S. Bernardini, J.P. Sorbier, J.L. Autran.- Qualité de l’oxyde, impact des procédés et caractérisation électrique associée (opération : Oxydes minces).- Convention STSI n° 02-2-930702 : ST Microelectronics – L2MP-Polytech Marseille, 2002-2003 Moya G.- Contrat Thomson Plasma - UDESAM (ADER PACA n° 210.046), 2002 Pannier Ph., J. Gaubert, S. Bourdel, O. Bostrom.- Modélisation et caractérisation d’antennes pour systèmes sans contact fonctionnant à 900 MHz (opération : Conception de circuits).- Convention STSI n° 02-2-93-0702 : ST Microelectronics – L2MP-Polytech Marseille, 2002-2003 Pannier Ph., W. Tatinian, R. Gillon.- Modélisation et caractérisation d’éléments passifs RF et HF en technologie CMOS.- Contrat de collaboration : AMI Semiconductor (Belgique) - L2MP-Polytech Marseille, 2002-2003 Pichaud B.- Maîtrise de la diffusion Pt à très haute concentration dans le silicium.- Convention STSI N° 02.2.93.0586, ST Microelectronics, juillet 2002-juillet 2003 Portal J.M., Aziza H.- Méthodologies de test embedded NVM.- Convention STSI phase 6 n° 03-293-02-95 : ST Microelectronics – L2MP-Polytech Marseille, LPM-INSA Lyon, 2003 Portal J.M., Forli L.- Méthodes de test logic.- Convention STSI phase 6 n° 03-2-93-02-95 : ST Microelectronics – L2MP-Polytech Marseille, LPM-INSA Lyon, 2003 Portal J.M., H. Aziza, D. Née.- Méthodologies de test pour les mémoires EEPROM (opération : Méthodologie de Test).- Convention STSI n° 02-2-93-0702 : ST Microelectronics – L2MP-Polytech Marseille, 2002-2003 Portal J.M., L. Forli, D. Née.- Méthodologies de test pour les circuits logiques (opération : Méthodologie de Test).- Convention STSI n° 02-2-93-0702 : ST Microelectronics – L2MP-Polytech Marseille, 2002-2003 Portal J.M., Lopez L.- Méthodologies de test embedded DRAM.- Convention STSI phase 6 n° 03-293-02-95 : ST Microelectronics – L2MP-Polytech Marseille, LPM-INSA Lyon, 2003 Tételin C.- Modélisation et mesures de réseaux câblés pour applications automobile.- Contrat IMRA-Europe – ISEN-L2MP, novembre 2005 Thomas O.- Contraintes locales dans les dispositifs à semi-conducteurs .- Contrats annuels collaboration laboratoire & ST Microelectronics (Rousset 2003-2008).- T20 Thomas O.- Influence des paramètres de fabrication sur les contraintes induites dans le silicium en technologie SA-STI de génération 150 nm à 90 nm .- Convention ATMEL dans le cadre EREVNA, janvier 2005- 2008 RELATIONS SCIENTIFIQUES 283 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Communication, vulgarisation Bergman C.- Organisation et animation d'un atelier sur "La place des femmes dans la Recherche dans les pays autour de la Méditerranée".- "World Med", Marseille, avril 2002 Bergman C.- Participation au Forum Méditerranéen "Women, Agents of change : A mediterraneen perspective".- Lugano, Suisse, avril 2002 Lauque P.- Animation scientifique sur le thème : Les décharges électriques dans les gaz illustrées par des démonstrations scientifiques (effet thermique : plasma froid / plasma chaud, effet lumineux, effet mécanique: propulsion ionique...).- Souk des Sciences, Aix en Provence, 2002 Lollman D.- Interview et article dans la presse régionale : La Provence, 13/10/2002, « Des doctorants prennent la température des entreprises » Muller C.- L'université de Toulon, résolument tournée vers l'innovation et l'industrie.- Usine Nouvelle, supplément "Les acteurs de l'innovation".- n° 19, p. 32, décembre 2002 Schülli T., M. Sztucki, V. Chamard, D. Schuh and T. H. Metzger.- Anomalous x-ray diffraction on InAs/GaAs quantum dot systems.- ESRF Highlights, 2002 Lollman D.- Aide à la création du Souk des sciences auprès de Hassane BITAR en 2003, suivi de participations régulières. Chamard V., F. Livet, K. Ludwig, F. Bley, M. de Boissieu and I. Robinson.- 3-dimensional coherent x-ray diffraction on a single Au crystal of micrometre size.- Spotlight on Science, site web ESRF http://www.esrf.fr/NewsAndEvents/Spotlight/spotlight4_gold, 2004 Lollman D.- Participation à la création et membre actif du RAUC (Réseau d’actions universitaires pour la culture) en 2004. Lollman D.- « Curieux 2 sciences » : membre du Comité d’Organisation en 2004 et membre du Comité Scientifique en 2005. Tutelle : Conseil Régional, Mairie du 13e et 14e Marseille, ASTS Sciences et Citoyenneté. Lollman D.- Interview et article dans la presse régionale : La Marseillaise, 01/11/2004, « Valoriser la région et montrer son potentiel » Lollman D.- Interview et article dans la presse régionale : La Provence, 19/11/2004, « Que les scientifiques sortent de leur tour d’ivoire » Mangelinck D.- Nomination pour le prix Jeune Chercheur.- Festival des Sciences, Marseille, 2004 Mangelinck D.- Les médailles du CNRS. Interview au service de presse du CNRS.- 2004 Muller C.- Université du Sud Toulon-Var. Pôle technologique : une mission d'ouverture.- Usine Nouvelle, supplément "Entreprises et innovations". n° juin, p. 13, 2004 Muller C.- Université du Sud Toulon-Var. La valorisation intelligente.- Usine Nouvelle, n° novembre, p. 8, 2004 Muller C.- Conférence. – Histoires de puces : à la découverte d'un nanomonde… Fête de la Science, Lycée du Coudon, La Garde, Var, 15 octobre 2004 Schenk T., Reinhart G., Cristiglio V., Gastaldi J., Klein H., Grushko B., Nguyen-Thi H., MangelinckNoël N., Härtwig J., Billia B. and Baruchel J.- Live observation of the growth of quasicrystal grains.- ESRF Spotlight, site ESRF, http://www.esrf.fr, October 2004 Themlin J.M.- Participation à un débat public sur le thème « Intégrer l’Université ou les écoles ? ».- Salon de l'Etudiant Metierama, Marseille, janvier 2004 284 COMMUNICATION - VULGARISATION RAPPORT D’ACTIVITE 2002 - 2006 Valmalette J.C.- Membre du Comité Scientifique du 4ème Festival des Sciences et des Technologies de Marseille, 2004 Autran J.L.- Nouvelles technologies : Plateau de Bure. Un laboratoire à 2500 mètres d'altitude.Quotidien régional Dauphiné Libéré, 14 décembre 2005 Autran J.L.- Les puces électroniques testées au Pic de Bure.- Quotidien régional La Provence, 14 décembre 2005 Autran J.L.- Dossier de presse ASTEP (Altitude SEE Test European Platform).- Présentation au Conseil Général des Hautes Alpes, 13 décembre 2005 Bendahan M., Carchano H., Lambert-Mauriat C., Lauque P., Lollman D.- Organisation annuelle au sein du laboratoire depuis 2001 de l’opération « Rencontres Chercheurs - Professeurs du secondaire » sous la tutelle du Rectorat d’Aix-Marseille. Bergman C.- Membre du Comité Scientifique du Festival des Sciences de Marseille depuis 2005. Bergman C.- Deputy-Director du VIU Gendermainstreaming du réseau d’excellence Complex Metallic Alloys du 6ème PCRD 2005-2008. Bergman C.- Consultante scientifique du projet d’action spécifique WIS-MED “Women in Science in the Mediterraneen Countries” coordonné par Pr M. Stratigaki, du Centre for Social Morphology and Social Policy, Athènes, Grèce. Gailhanou M.- Principe de l'imprimante laser (poster).- Exposition "Encres et Pigments: écriture et impression", Fête de la Science, Marseille, 10-14 octobre 2005 Lollman D.- Responsable Communication pour l’AMP2005 (Année mondiale de la physique 2005) au sein de la SFP (Société Française de la Physique). Lollman D.- Correspondant scientifique U3 des conférences « grand public » de « Echange & diffusion des savoirs » par délégation du CG13. Lollman D.- Doctoriales : Membre du Comité d’Organisation pour l’U3. Lollman D.- Interview et article dans la presse régionale : La Provence, 20/11/2004, « Le Festival honore ses pointures » Lollman D.- Interview et article dans la presse régionale : La Provence, 06/04/2005, « Moisson des prix pour nos scientifiques » Lollman D.- Interview et article dans la presse régionale : La Provence, 17/05/2005, hors série « Etudiants en Provence » Lollman D.- Interview et article dans la presse régionale : La Provence, 22/05/2005, « Marseille, haut lieu de la recherche » Lollman D.- Interview et article dans la presse régionale : La Provence, 19/11/2005, « Science : les cinq ans du Festival » Lollman D.- Interview et article dans la presse régionale : La Provence, 15/11/2005, « Les chercheurs se veulent infiniment publics » Lollman D.- Interview et article dans la presse régionale : La Marseillaise, 15/11/2005, « Festival des Sciences et des Technologies : 5 ans de décollage » Muller C.- Moteur de recherches. Toujours plus vite.- Magazine du Conseil Général du Var, n° 93, p. 17, mars 2005 Muller C.- La science se met au service du public.- Quotidien Var Matin, 14 octobre 2005 Muller C.- Conférence. – Histoires de puces : à la découverte d'un nanomonde… Fête de la Science, Centre commercial Grand'Var, La Valette, Var, 12 octobre 2005 RELATIONS SCIENTIFIQUES 285 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Patrone L.- Introduction au monde des nanotechnologies avec visite du laboratoire au cours de journées "Portes Ouvertes" (L2MP/ISEN-Toulon).- Fête de la Science, octobre 2003, 2004, 2005 Patrone L.- Conférence Grand Public «Visite au pays des atomes».- Année Mondiale de la Physique 2005, ISEN Toulon, 12 mai 2005 Porte L., M. Abel, L. Giovanelli, L. Nony.- Poster « Ecrire à l’échelle des atomes » à la BU. Visite commentée de l’appareillage de microscopie tunnel pour le grand public.- Fête de la Science, Faculté des Sciences et Techniques de Saint Jérôme, Marseille, octobre 2005 Schenk T., Reinhart G., Cristiglio V., Gastaldi J., Klein H., Grushko B., Nguyen-Thi H. MangelinckNoël N., Härtwig J., Billia B. and Baruchel J.- Application of synchrotron X-ray imaging to the study of directional solidification of aluminium-based alloys.- ESRF Highlights, p. 110-111, 2005 Themlin J.M.- Participation aux « Rencontres enseignants-chercheurs » : journées de sensibilisation à la recherche organisées par le Rectorat de l’Académie d’Aix-Marseille à l’attention des enseignants du secondaire. Présentation d’un exposé « Voir et manipuler atomes et molécules : la route vers le stockage ultime de l’information ».- mai 2003, 2004, 2005 Aguir K.- Action : JUMELAGE SCIENTIFIQUE 2006, interventions dans deux écoles primaires à Marseille. Autran J.L.- Electronique : alerte aux rayons cosmiques.- Science et Vie, septembre 2006 Autran J.L.- Des cellules mémoires testées dans le Dévoluy.- Quotidien régional Dauphiné Libéré, 7 Jul 2006 7 juillet 2006 Autran J.L.- Alpine lab enters rarified air of soft-error test EETimes on line, 7 juillet 2006 Autran J.L.- Une plateforme européenne pour tester la vulnérabilité des composants microélectroniques aux neutrons atmosphériques.- Communiqué de presse, CNRS, 5 juillet 2006 Autran J.L.- Le Plateau de Bure entre au service de la microélectronique.- Electronique International Hebdo, n° 618, 12 janvier 2006 Autran J.L.- Dossier de presse ROSETTA : une expérience scientifique internationale de microélectronique installée en région PACA.- 27 février 2006 Autran J.L.- Microélectronique : un label qualité à l'horizon 2009.- Journal du CNRS, n° 201, p. 35, octobre 2006 Chamard V., M. Dollé, G. Baldinozzi, F. Livet, M. de Boissieu, F. Bley, P. Donnadieu, T.H. Metzger, C. Mocuta, F. Picca, S. Labat and O. Thomas.- Coherent microdiffraction imaging to retrieve shape and local strain of a single nanocrystal.- Spotlight on Science, site web ESRF http://www.esrf.fr/NewsAndEvents/Spotlight/spotlight33nano/, 2006 Hoummada K.- Rencontres d'élèves de lycées et participation au projet "Toutes les sciences sont liées".- Fête de la Science, Bastia, 12 octobre 2006 Lambert-Mauriat C.- Participation au 1er Carrefour des métiers scientifiques et techniques.- Agora des Sciences, Marseille, 2006 Lauque P.- Animation scientifique sur le thème : Les décharges électriques dans les gaz illustrées par des démonstrations scientifiques (effet thermique : plasma froid / plasma chaud, effet lumineux, effet mécanique: propulsion ionique...).- Journée de la Physique, Théâtre Toursky, 2006 Lauque P.- Animation scientifique sur le thème : Les décharges électriques dans les gaz illustrées par des démonstrations scientifiques (effet thermique : plasma froid / plasma chaud, effet lumineux, effet mécanique: propulsion ionique...).- Fête de la Science, bibliothèque municipale de Saint Montan, Ardèche, 2006 Menou N., Muller Ch., Goux L., Barrett R., Lisoni J.G., Schwitters M., Wouters D.J.- Microstructural analysis of integrated pin-shaped 2D and 3D ferroelectric capacitors from micro-focused 286 COMMUNICATION - VULGARISATION RAPPORT D’ACTIVITE 2002 - 2006 synchrotron x-ray techniques. Highlighted article in International Union of Crystallography Newsletter (http://aca.hwi.buffalo.edu/IUCr//IUCr-News/14_2/14_2.pdf), vol. 14, no. 2, p. 7, 2006 Mossoyan-Déneux M.- Coordinatrice pour les trois Universités d’Aix-Marseille : organisation de l’espace dédié et des animations scientifiques sur cet espace.- Salon de l'Etudiant Metierama, Marseille, janvier 2006 Mossoyan-Déneux M.- Co-directrice de la licence Sciences & Technologie de l’Université de Provence, plus particulièrement chargée des relations avec le SUIO. Coordinatrice des différentes actions et manifestations en direction des lycéens : organisation des « Journées des futurs bacheliers » et des « Journée des professeurs scientifiques et conseillers d’orientation psychologues ».- 2005-2006 Mossoyan-Déneux M.- Participation à différents salons en région PACA (Marseille, Gap) et à différents forums et opérations métiers dans les sections scientifiques (première et terminale) de plusieurs lycées de la ville et de la région. 2002-2006 Patrone L.- Présentations des activités de recherche à des classes de lycée.- ISEN Toulon, 20022006 Porte L.- Exposé et animation d'un débat.- Salon de l'Etudiant Metierama, Marseille, janvier 2006 Themlin J.M.- Journée Portes Ouvertes. Visite commentée du service des travaux pratiques de Physique de second cycle de l’Université de Provence au cours d’une journée d’accueil des futurs bacheliers.- Centre de St-Jérôme, Marseille, mai 2006 Themlin J.M.- « La main à la pâte » : Référent scientifique d’une opération de découverte des sciences auprès des élèves de CE2 et de CM1 CM2 de l’école Jules Ferry de Moulin de Redon.Auriol, janvier à juin 2006 Thomas O.- Une brève histoire du transistor : de l'électron jusqu'à la nanoélectronique du XX1e siècle.- Cours de 3 h destiné aux étudiants de premier semestre de L1 - toutes sections confondues Université Paul Cézanne, Flory F.- Organisation de la journée sur la formation en optique et photonique avec le conseil régional PACA et animation d'une table ronde pour le Plan Régional de Développement des Formations.- Conseil Régional, Marseille, 2003 Flory F., Fabre J.P.- An original syllabus in photonics at the Ecole Généraliste d’Ingénieurs de Marseille.- 4th ETOP Conference, Tucson, USA, octobre 2003 Mangelinck D.- L'avenir de l'informatique est dans l'infiniment petit.- Interview au quotidien La Provence, 5 décembre 2004 Flory F.- Exposé sur les formations pour le pôle de compétitivité Systèmes Complexes d’Optique et d’Imagerie.- Préfecture de Région, Marseille, février 2005 Flory F.- General chairman de la conférence internationale biannuelle Education and Training in Optics and Photonics (ETOP), sponsorisée par l’ICO, la SPIE, l’OSA, la SFO, l’EOS.- Marseille, octobre 2005 Marseille, octobre 2005 Flory F. et al.- Europtics : an international master in Optics and photonics.- ETOP (Education and Training inOptics and Photonics), Marseille, octobre 2005 Gailhanou M., Labat S.- Du laser à l’imprimante. Poster présenté à la bibliothèque universitaire de l'Université Paul Cézanne, Exposition ENCRES.- Fête de la Science, Marseille, 2005 Gas P.- Comprendre les lois de l'infiniment petit.- Interview au quotidien La Provence, 2005 Guichet C.- Les couleurs. Poster présenté à la bibliothèque universitaire de l'Université Paul Cézanne, Exposition ENCRES. Fête de la Science, Marseille, 2005 RELATIONS SCIENTIFIQUES 287 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Lelay G., Lollman D., Nguyen Thi H.- Organisation de l’Année Mondiale de la Physique 2005 pour la Société Française de Physique en Région PACA. Martinuzzi S.- Encres de sérigraphie (appliquées aux cellules solaires). Poster présenté à la bibliothèque universitaire de l'Université Paul Cézanne, Exposition ENCRES.- Fête de la Science, Marseille, 2005 Martinuzzi S.- Encres électriquement conductrices appliquées au Photovoltaïque. Poster présenté à la bibliothèque universitaire de l'Université Paul Cézanne, Exposition ENCRES.- Fête de la Science, Marseille, 2005 Porte L.- Interview sur les nanotechnologies.- Quotidien La Provence, 2005 Porte L., Barthélemy H. 10-12 mars 2005 Exposé-débat "Suivre des études scientifiques".- Metierama, Marseille, Regula G.- Conférence et fllm "Croissance des cristaux".- Lycée Saint Charles, Marseille, 2005 Regula G.- Voyage scientifique à Paris La Vilette et Arts et Métiers pour les élèves du Lycée Saint Charles de Marseille.- 2005 Barthélemy, H., Jeandron M.- Le passeport électronique.- Cycles de conférences "Qu'en savezvous vraiment ?", Musée des Arts et Métiers, en partenariat avec le magazine La Recherche et le journal Metro, Paris, 28 septembre 2006 Pannier P., Cubillo R.- Présentation de la modélisation électromagnétique au L2MP Polytech (poster).- Application Workshops for High Performance Design, Leading Insight Roadshow 2006, Paris la Défense, 14 novembre 2006 Porte L.- Conférence-débat sur les nanotechnologies.- Fête de la Science, La Cadière d'Azur, 2006 Putero M.- Licence SPI (Sciences Pour l'Ingénieur) des Universités d'Aix-Marseille.- Metierama, Marseille, janvier 2006 Simon J.J.- Présentation des formations DUT + Licence Pro "Ingeniérie en Micro-Opto électronique".- Metierama et Studyrama, Marseille, 2006 Simon J.J.- Présentation des formations DUT + Licence Pro "Ingeniérie en Micro-Opto électronique".- Journée Futurs Bacheliers, Université Paul Cézanne et Rectorat d'Aix-Marseille, Marseille, 2006 288 COMMUNICATION - VULGARISATION RAPPORT D’ACTIVITE 2002 - 2006 6. Hygiène et sécurité 289 RAPPORT D’ACTIVITE 2002 - 2006 1. L’hygiène et la sécurité au L2MP (UMR 6137) Bilan des accidents et incidents survenus et mesures prises 1) Une personne du Laboratoire s’est renversée quelques gouttes d’acide fluorhydrique sur la jambe. Ö Les personnes qui utilisent la salle de chimie sont maintenant toutes formées et une procédure d’urgence a été mise en place sur le poste de travail (application gel de gluconate de calcium). 2) Un container de récupération des déchets a explosé dans la salle de chimie. Deux personnes qui ont respiré les vapeurs d’acide ont eu des étourdissements (accident du travail). Ö Une nouvelle consigne de poste a été mise en place. Les containers doivent être placés sous la hotte et ne doivent plus être vissés de façon hermétique. Après utilisation de la solution composée d’acide fluorhydrique, chlorhydrique et acétique, celle-ci doit être dissoute dans l’eau. 3) Certaines personnes ont eu des malaises. Ö Il est prévu d’installer des fontaines à eau. Identification et analyse des risques spécifiques rencontrés dans l’unité Ö Risque chimique Les produits chimiques utilisés au Laboratoire sont répertoriés et stockés dans des armoires. La manipulation des produits se fait dans des salles spécifiques équipées de hottes. Les produits usés sont stockés suivant leur nature, puis retraités par un organisme extérieur par l’intermédiaire des Universités. Certains problèmes n’ont toujours pas été résolus : Les hottes n’ont pas le débit d’aspiration suffisant. Les armoires de stockage sont branchées sur le même conduit d’aspiration que les hottes. Ö Risque d’irradiation X Des diffractomètres de rayons X sont utilisés au Laboratoire (Phillips Xpert MPD et Siemens D5000). Ce sont des systèmes commerciaux ayant les sécurités intégrées. Des signalisations sont disposées à l’entrée des pièces. Ö Risque radioactivité Le Laboratoire n’a plus l’autorisation d’intervenir sur les produits radioactifs. Cette activité est arrêtée, mais il subsiste certaines sources stockées dans un coffre prévu à cet effet. Ö Risque champ magnétique intense Cela pose un problème de sécurité pour les personnes qui ont un pacemaker. Le risque est affiché à l’entrée de la pièce. Ö Risque d’irradiation laser Les utilisateurs de laser doivent porter des lunettes spéciales de protection. Des signalisations sont affichées à l’entrée des pièces. Ö Risque mécanique HYGIENE ET SECURITE 291 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Des machines n’ont pas de certificat de conformité valide. Ö Risque appareil sous pression (gaz) Les bouteilles sont fixées au mur et identifiées. Une société extérieure gère leur remplacement sur le campus. Ö Risque électrique De nombreuses rénovations ont été entreprises sur le campus de St Jérôme. Des personnes ont suivi un stage d’habilitation électrique. Dispositions mises en œuvre en fonction des risques. Priorités retenues Risque chimique : Une des deux hottes concernées va être changée. Risque mécanique : L’utilisation des machines a été interdite avant qu’une solution définitive soit prise. Une consigne a été affichée sur les machines. Risque radioactivité : L’arrêt de l’activité a été déclaré début 2006. Fonctionnement des structures d’hygiène et de sécurité propres à l’unité (ACMO, comité spécial d’hygiène et de sécurité, personne compétente en radioprotection…) Le travail à réaliser dans le domaine de la sécurité est difficile étant donné la taille et les nombreux sites du Laboratoire. Nous avons donc créé une structure spécifique en mettant en place des correspondants de site (Voir organigramme). Cette cellule se réunit deux fois par an et devrait à terme se transformer en CHS. Dispositions mises en œuvre pour la formation des personnels et notamment des nouveaux entrants (y compris stagiaires, doctorants…) A l’heure actuelle, les nouveaux entrants sont formés par leur responsable. Pour les utilisateurs de produits chimiques, une formation spécifique est dispensée par Dominique MANGELINCK (Chargé de recherche CNRS). Problèmes de sécurité qui subsistent et moyens envisagés pour les résoudre Risque mécanique : un chiffrage a été réalisé. Soit la mise en conformité ou l’achat de nouvelle machine sera fait, soit les machines seront supprimées. Risque chimique : Pas de solution choisie à court terme pour une des hottes. Solution 1 : changer la hotte Solution 2 : déplacer la salle de chimie Risque radioactif : Que faire des déchets sachant que certains ont une durée d’activité de 5 000 ans ? Solution 1 : trouver un autre lieu de stockage Solution 2 : faire de ce local une zone de stockage pour toute l’Université. ν Christophe Kneulé, ACMO 292 HYGIENE ET SECURITE RAPPORT D’ACTIVITE 2002 - 2006 Organisation de la sécurité au L2MP HYGIENE ET SECURITE 293 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE 2. L’hygiène et la sécurité au TECSEN (UMR 6122) Actions Sécurité entreprises Année 2003 : Formation d'un secouriste Année 2004 : Recyclage secouriste Année 2005: Isolation murale (ainsi que la cloison mobile livrée et installée), au CTP plombé, d'une salle accueillant une anode tournante à l'argent pour la topographie aux rayons X Isolation identique d'une autre salle pour une anode tournante au cuivre.Diffraction rayons X Formation de 6 secouristes Formation de 4 personnes (serre file) : 1 par service, responsable de l'évacuation du personnel en cas d'alerte Formation de ces mêmes personnes à l’utilisation d’extincteurs Inventaire des différentes bouteilles de gaz divers, enlèvement de certaines pour éviter un stockage important fixations murales de toutes les bouteilles restantes ν Thierry De Perre, ACMO 294 HYGIENE ET SECURITE RAPPORT D’ACTIVITE 2002 - 2006 7. Formation permanente 295 RAPPORT D’ACTIVITE 2002 - 2006 Les deux unités abordent ce contrat quadriennal avec des différences notables de développement de la politique de formation permanente, dues d’une part à la différence de taille entre les deux structures, et d’autre part au fait que le poste de correspondant formation permanente a été plusieurs fois vacant au sein de TECSEN. Par conséquent, la formation permanente dans l’unité TECSEN s’est concentrée sur quelques actions ponctuelles et d’une nécessité absolue dans le domaine de l’hygiène et sécurité (secourisme) et de la gestion (LABINTEL). Aucun plan de formation n’a pu être rédigé pendant la période du précédent contrat. Pour ce qui est du L2MP, la présence continue d’un correspondant formation permanente depuis 2001 a permis de dépasser le stade de la réponse aux besoins de formation immédiats et essentiels, et d’instituer une démarche d’analyse prévisionnelle de ces besoins. Le résultat en est un plan de formation qui a été renouvelé tous les deux ans depuis 2002. Nous joignons ci-dessous la 3ème version de ce plan, sachant qu’il sera la base du plan de formation de la future unité et que les objectifs qu’il recense sont communs aux deux unités actuelles. 1. Plan de formation du L2MP (UMR 6137) Contexte Le L2MP regroupe 223 personnes dont 13 chercheurs CNRS, 77 enseignants-chercheurs des Universités (37 de l'Université Paul Cezanne, 27 de l'Université de Provence, 13 de l'Université Sud Toulon Var), 4 professeurs émérites, 6 enseignants-chercheurs de l'ISEN, 23 ITA et IATOS, 3 contractuels techniques, 85 Doctorants et 12 ATER, post-doc ou visiteurs étrangers longue durée.C’est une unité aux tutelles et statuts des personnels multiples. (CNRS et 3 universités, permanents, contractuels, étudiants, chercheurs, personnels d’accompagnement technique et administratif), ce qui engendre certaines difficultés quant à l’organisation de la formation permanente dans l’unité. En effet, les tutelles possèdent des politiques très différentes en la matière. Les universités ont des dispositifs de formation réservés aux IATOS, les enseignantschercheurs en étant exclus. Par ailleurs, chaque université possède ses circuits de diffusion de l’information, qui n’aboutissent pas toujours jusqu’aux agents concernés. Grâce à l’existence d’un correspondant formation permanente au sein du laboratoire (Jérôme PARET, CR1 CNRS, pour le L2MP), la formation permanente du CNRS assure la diffusion de son offre de formation ainsi qu’une activité de conseil mais, dans la mesure où les actions de formation organisées par le CNRS ainsi que les aides financières à la formation sont dirigées prioritairement vers les agents CNRS qui ne représentent que 10% des personnels de l’unité, beaucoup d’agents se sentent exclus ou peu concerné par la formation permanente. Bilan 2002-2006 Suite à la désignation officielle d’un correspondant formation permanente en 2001 et à l’action de sensibilisation organisée par le bureau formation de la délégation régionale à destination des correspondants de formation, une politique d’analyse des besoins et de sensibilisation à la formation permanente a été mise en place au sein du L2MP. Cette politique s’est traduite par l’envoi d’un questionnaire d’analyse des besoins tous les deux ans à l’ensemble des personnels de l’unité. Le traitement de ces questionnaires a abouti à la mise en place du premier plan de formation d’unité début 2003. Ce plan a ensuite été mis à jour début 2005. Cette amorce de mise en place d’une véritable politique de formation permanente au sein du L2MP a porté ses fruits : une augmentation constante du nombre de personnes formées chaque année a été constatée (2003 : 6, 2004 : 14, 2005 : 24, 2006 : en cours, bilan non disponible). Cependant, cette augmentation n’est que partiellement liée à l’existence d’un plan de formation. Beaucoup d’actions de formation sont organisées en cours d’année, à la demande d’un agent et suite à l’émergence d’un besoin qui n’avait pas forcément été anticipé. Ces constatations soulèvent la question de l’adéquation de la méthodologie d’analyse des besoins utilisée à une unité de cette taille et de cette complexité. Les questionnaires d’analyse des besoins ont connu des taux de retour faibles (10%). Par ailleurs, il est apparu que la sensibilisation à la formation permanente est restée essentiellement limitée au site de St Jérôme, sur lequel le correspondant de formation est présent. FORMATION PERMANENTE 297 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Objectifs Pour les prochaines années, l’effort de sensibilisation et de développement d’une politique de formation permanente sera poursuivi, avec pour objectif d’arriver à une actualisation annuelle du plan de formation et une amélioration de la gestion prévisionnelle des besoins en compétences. Etant donnée la taille de l’unité, cela passera par une responsabilisation des chefs d’équipe qui seront chargés, avec l’aide du correspondant formation, de recueillir les besoins de formation de leur équipe. Sur le plan des priorités en matière de formation, il est difficile, pour les raisons exposées plus haut, de donner une liste détaillée de demandes spécifiques. Cependant, l’analyse des besoins fait apparaître de grands axes dans lesquels les besoins en formation sont récurrents : - Connaissances scientifiques : techniques de mesure (spectroscopie RAMAN, microscopie électronique, EDX, RBS). - Communication : vulgarisation scientifique, conception d’applications multimédia interactives (flash), techniques de communication interactives (visioconférence, webconférence). - Management : outils de la communication, montage et gestion d’un projet de recherche, management d’une petite équipe. - Hygiène et sécurité : risque chimique. - Informatique : administration de réseaux, installation et configuration de routeurs. - Langues : anglais, français pour chercheurs étrangers. Certaines formations sont rendues nécessaires par la taille de la structure et sa dispersion sur plusieurs. En particulier, les formations au management ainsi que celles à destination des cellules informatique, communication et gestion semblent très importantes pour assurer le bon fonctionnement d’une unité comme le L2MP. ν Jérôme Paret, correspondant Formation pour l’UMR 6137 298 FORMATION PERMANENTE RAPPORT D’ACTIVITE 2002 - 2006 2. La formation permanente au TECSEN (UMR 6122) Contexte Le laboratoire TECSEN est une unité de 42 permanents provenant de deux tutelles différentes qui sont l’Université Paul Cézanne (U3) et le CNRS. La répartition des effectifs dans ces tutelles est de 75% pour le personnel universitaire et de 25% pour le personnel CNRS. Concernant les effectifs du personnel non permanent, le laboratoire regroupe 15 doctorants et 4 post doctorant (ATER ou Post-Doc de financement divers…) Il faut souligner que la faible participation des membres du laboratoire aux formations permanentes organisées par la DR12-CNRS. Ceci peut sans doute s’expliquer par l’absence d’un correspondant de la formation CNRS depuis plus d’un an. Notons de plus qu’aucun plan de formation n’a pu être rédigé pendant la période du précédent contrat quadriennal. Ainsi ne ressortent que quelques actions ponctuelles et d’une nécessité absolue dans le domaine de l’hygiène et sécurité (secourisme) et de la gestion (LABINTEL). Nous noterons cependant le recrutement d’un Ingénieur de recherche en janvier 2006 qui a suivi des formations de « Personne Compétente en Radioprotection – PCR » (la seule sur le campus de Saint Jérôme) et de « Conception en mécanique ». En revanche la participation des membres du laboratoire aux formations de type Ecoles Thématiques est très bonne et il faut insister sur la volonté du laboratoire de faire participer les doctorants à ces formations. Il faut également noter la participation des membres du laboratoire à la diffusion des connaissances dans ce même type d’école thématique. Bilan détaillé des formations 2002-2006 Formation Conception en mécanique Logiciel (CATIA) - 1 IR-CNRS 2006 Formation PCR Personne Compétente en Radioprotection - 1 IR-CNRS 2006 Formation AFPS- U3 (Formation aux Premiers Secours) - 2 MCF-U3 et 4 doctorants 2006 Formation Labintel- CNRS - 1 TCN-CNRS 2005 Formation Recyclage secourisme-CNRS - 1 TCN-CNRS - 1 TCN-CNRS 2004 2003 Formation Photoshop- CNRS - 1 DR2- CNRS 2003 Formation Anglais - 1 doctorant BDI-CNRS 2003 Bilan détaillé des écoles thématiques 2002-2006 Ecole européenne de techniques avancées en Microscopie électronique quantitative : “La Gaillarde” St Aygulf – Septembre/Octobre 2006 Participants : Permanents : W. Saikaly, G. Regula, F. Bocquet, C. Alfonso Thésards : L. Alexandre, N. Bernier, A. Kammouni Contraintes internes : de leurs origines à leur utilisation dans les matériaux à propriétés électroniques Nant (Aveyron) - Septembre 2005 Participants : Permanents : S. Escoubas, O. Thomas, P. Gergaud Thésards : M. Eberlin, M.C. Benoudia, L. Alexandre, A. Loubens, E. Lecoq, V. Vidal FORMATION PERMANENTE 299 I M N P • INSTITUT MATERIAUX ET NANOELECTRONIQUE DE PROVENCE Ecole contraintes mécaniques Aussois - Mars 2004 Participants : Permanents : P. Gergaud, N. Burle, C. Alfonso Thésards : C. Rivero, A.Loubens, École contraintes mécaniques Porquerolles - Septembre 2003 Participant : P. Gergaud Bilan du transfert du savoir-faire du laboratoire 2002-2006 - P. Gergaud Formation continue PANalytical (anciennement Philips) « Analyse de phase » Périodicité : 2 fois par an sur 2 jours Cours sur : « Rappel de radiocristallographie et principes de l'analyse de phase » 2003 - P. Gergaud, O.Thomas Formation continue TECSEN « Diffraction X et couches minces » Cours sur : « Détermination des contraintes dans les films minces » « Détermination des textures dans les films minces » « Analyse de profils de raies de diffraction » 2002 - M.-V. Coulet Intervention orale dans le cadre de l'école thématique « Structure et dynamique des systèmes désordonnés » Cours sur : « Phase separation in covalent liquids. Neutron scattering and thermodynamic modeling » Edité dans J. Phys. IV, 111, pp147-166 (2003) ν Vanessa Coulet, correspondante Formation pour l’UMR 6122 300 FORMATION PERMANENTE TECSEN Université Paul Cézanne Aix-Marseille III Faculté des Sciences et Techniques, Case 262 Avenue Escadrille Normandie Niemen F - 13397 Marseille Cedex 20 tel. +33 (0) 491 288 311 - fax +33 (0) 491 282 793 www.umr-tecsen.fr L2MP Administration générale - Campus de Saint Jérôme Université Paul Cézanne Aix-Marseille III Faculté des Sciences et Techniques, Case 142 Avenue Escadrille Normandie Niemen F - 13397 Marseille Cedex 20 tel. +33 (0) 491 288 313 - fax +33 (0) 491 288 775 www.l2mp.fr Campus de Château Gombert - Bât. IRPHE Technopôle de Château-Gombert 49, rue Joliot Curie BP 49 F - 13384 Marseille Cedex 13 tel. +33 (0) 496 139 700 – fax + 33 (0) 496 139 709 Campus de Toulon - USTV Université du Sud Toulon Var, Bâtiment R BP 132 F - 83957 La Garde Cedex tel. +33 (0) 494 142 421 - fax +33 (0) 494 142 168 www.univ-tln.fr Campus de Château Gombert - Polytech’Marseille Ecole Polytechnique Universitaire de Marseille - Université de Provence Département Micro-électronique et Télécommunications Technopôle de Château Gombert F - 13451 Marseille Cedex 20 tel. +33 (0) 491 054 528 - fax +33 (0) 491 054 529 www.polytech-marseille.com Toulon - I S E N Institut Supérieur d'Electronique et du Numérique Maison des Technologies Place Georges Pompidou F - 83000 Toulon tel. +33 (0) 494 038 950 - fax +33 (0) 494 038 951 www.isen.fr