Etude des propriétés et de l`intégration de barrières auto

Transcription

Etude des propriétés et de l`intégration de barrières auto
Table des figures
1.1
Illustrations des interconnexions.
. . . . . . . . . . . . . . . . . . . . . . . .
7
1.2
Réalisation d’un niveau d’interconnexion : dépôt du diélectrique à faible permittivité et des masques durs. . . . . . . . . . . . . . . . . . . . . . . . . . .
8
Réalisation d’un niveau d’interconnexion : étapes de photolithographie et de
gravure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
9
Réalisation d’un niveau d’interconnexion : dépôt de matériaux métalliques,
polissage mécano-chimique et encapsulation supérieure des lignes. . . . . . .
10
1.5
Modélisation simple des interconnexions. . . . . . . . . . . . . . . . . . . . .
11
1.6
Réponses temporelle et fréquentielle du circuit RC. . . . . . . . . . . . . . .
12
1.7
Illustration des contributions des composants actifs et passifs au temps de
retard global du circuit en fonction de la génération technologique en considérant identiques pour chaque génération technologique les matériaux utilisés. 13
1.8
Effet du passage d’une technologie 180 nm à 65 nm sur la surface occupée
par le cuivre dans la section d’une ligne, en gardant une épaisseur de barrière
métallique constante de 25 nm. . . . . . . . . . . . . . . . . . . . . . . . . .
14
Variation de la résistivité du cuivre en fonction de la largeur pour une ligne
de 150 nm de haut avec R, le coefficient de réflexion aux joints de grains égal
à 0, 13 et p la spécularité égale à 0, 25. . . . . . . . . . . . . . . . . . . . . .
16
1.10 Gain sur le délai pour différents nœuds technologiques en retirant une barrière
de 40 nm de SiCN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
17
1.3
1.4
1.9
1.11 Schéma d’une diffusion lacunaire d’un atome de cuivre sous un flux d’électrons. 18
1.12 Coupe longitudinale prise au microscope électronique à balayage d’une ligne de
cuivre après passage d’un fort flux d’électrons montrant deux cavités formées
à l’interface cuivre / barrière diélectrique dans la hauteur de la ligne (après
rupture de la ligne). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
19
1.13 Différentes configurations microstructurales des lignes en fonction de leur largeur. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
19
2.1
Schéma de principe du procédé CuSiN. . . . . . . . . . . . . . . . . . . . . .
26
2.2
Décomposition du silane sur du cuivre en fonction de la température. . . . .
27
iii
TABLE DES FIGURES
2.3 Variation de la résistivité du cuivre en fonction de la concentration d’impureté
incorporée. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
28
2.4 Influence des procédés CuSiN sur la résistance du cuivre et la profondeur
d’incorporation du silicium. . . . . . . . . . . . . . . . . . . . . . . . . . . .
29
2.5 Représentation tri-dimensionnelle (à gauche) du silane (SiH4 ) et (à droite) de
tri-méthyl-silane (TMS - SiH(CH3 )3 ) et du volume qu’ils occupent. . . . . .
31
2.6 Profils du silicium et du cuivre après procédé CuSiN avec silane ou tri-méthylsilane suivi d’un dépôt de SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . .
31
2.7 Effet d’un plasma d’oxygène sur une barrière SiCN référence et sur les matériaux CuSiN formés par silane et TMS. . . . . . . . . . . . . . . . . . . . . .
33
2.8 Profils SIMS du cuivre et du silicium après recuit d’une barrière référence et
d’une couche de CuSiN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
35
2.9 Evolution de l’efficacité barrière du CuSiN en fonction de la quantité d’azote
contenue dans la couche de CuSiN pour un débit de 145 sccm de NH3 . . . . .
35
2.10 Evolution de la résistance de lignes de 140 nm de large avec un procédé CuSiN
avec ou sans azote. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
37
2.11 Images MEB inclinées de 15 de lignes de cuivre en coupe. . . . . . . . . . .
39
2.12 Profils des éléments Cu, Si et N déterminés par la technique AES couplée à
une technique d’abrasion pour les procédés CuSiN après recuits cuivre 250 et
400˚C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
39
2.13 Spectres XPS de la surface des échantillons CuSiN avec silane ou TMS. . . .
40
2.14 Coupe longitudinale prise au MET d’une ligne de cuivre traitée pCuSiN avec
silane formant une bi-couche. . . . . . . . . . . . . . . . . . . . . . . . . . .
41
2.15 Spectres Auger du silicium et de l’oxygène de l’échantillon à forte siliciuration
avant et après abrasion de la surface. . . . . . . . . . . . . . . . . . . . . . .
43
o
2.16 Cliché MEB et cartographie AES associée d’un échantillon faiblement siliciuré. 43
iv
2.17 Analyses AFM et KFM d’un échantillon faiblement siliciuré. . . . . . . . . .
44
2.18 Cartographie EBSD de la surface d’un échantillon faiblement siliciuré. . . . .
45
2.19 Influence de la préparation de surface avant siliciuration sur l’incorporation
de silicium dans le cuivre. . . . . . . . . . . . . . . . . . . . . . . . . . . . .
46
2.20 Images MEB (15 × 15 µm2 ) en vue de dessus des échantillons à faible siliciuration en fonction de la préparation de surface représentative de l’ensemble
de la plaque. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
47
2.21 Schéma du procédé de siliciuration. . . . . . . . . . . . . . . . . . . . . . . .
48
2.22 Schéma du procédé de nitruration. . . . . . . . . . . . . . . . . . . . . . . .
48
3.1 Schéma du dispositif de mesure des courants de fuite. . . . . . . . . . . . . .
52
TABLE DES FIGURES
3.2
3.3
3.4
3.5
3.6
3.7
3.8
3.9
3.10
3.11
3.12
3.13
3.14
3.15
3.16
3.17
3.18
3.19
Courants de fuite d’un peigne/serpentin (largeur/espacement = 140/140 nm,
longueur du serpentin = 70 mm) d’un niveau métal Mx mesuré au Mx et au
Mx+1 pour un empilement standard d’une technologie Cu 90 nm avec barrière
SiCN et SiOC dense (εSiOC
= 3,1). . . . . . . . . . . . . . . . . . . . . . . .
r
Section de ligne (S) réduite par le procédé CuSiN. . . . . . . . . . . . . . . .
Variation de la résistance du métal Mx mesurée au Mx et au Mx+1 de deux
échantillons références (SiCN sur Mx ) ayant soit un recuit Mx à 400˚C, soit à
250˚C et un recuit Mx+1 à 400˚C. . . . . . . . . . . . . . . . . . . . . . . . .
Représentation schématique des différentes composantes de la capacité de couplage totale entre une ligne et son environnement. . . . . . . . . . . . . . . .
Schémas en coupe d’une chaîne de via et des différentes contributions à la
mesure de la résistance d’un via. . . . . . . . . . . . . . . . . . . . . . . . . .
Schéma de principe de la gravure via et ligne dans le cas (à gauche) d’une
référence avec barrière diélectrique SiCN et (à droite) sans barrière pour une
technologie 65 nm TFHM. . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Observations MEB en vue de dessus d’un réseau de lignes de 100 nm de large
espacées de 100 nm au niveau métal 2 avec des vias de 100 nm de diamètre
espacés de 150 nm le long d’une ligne. . . . . . . . . . . . . . . . . . . . . . .
Intérêt d’une couche de contrôle d’arrêt de la gravure du via lors du désalignement d’un via. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Schéma en coupe de la formation d’une cavité sous le via durant un test
d’électromigration de type Vx Mx . . . . . . . . . . . . . . . . . . . . . . . . .
Schéma en coupe de la formation d’une cavité durant un test d’électromigration de type Vx Mx+1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Intérêt des barrières auto-positionnées pour des applications de type capteur
d’images CMOS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Intérêt des barrières auto-positionnées pour la fabrication de cavités d’air air gaps. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Détails sur les conditions expérimentales des procédés CuSiN évalués dans un
empilement hybride. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Schéma d’intégration des procédés CuSiN dans un empilement hybride. . . .
Schéma d’intégration des procédés CuSiN comme barrière auto-positionnée
avec ou sans plasma oxygène avant dépôt SiOC. . . . . . . . . . . . . . . . .
Influence des procédés CuSiN sur les courants de fuite. . . . . . . . . . . . .
Variation de la résistance des lignes du niveau métal 2 entre la mesure avant
procédés CuSiN et/ou SiCN et celle après procédés CuSiN + intégration niveau métal 3, normalisée par rapport à l’échantillon référence SiCN. . . . . .
Capacité de couplage entre deux peignes du niveau métal 2 mesurée à partir
du niveau métal 3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
53
54
54
55
56
57
57
58
60
60
63
63
64
65
67
68
69
70
v
TABLE DES FIGURES
3.20 Résistance d’un serpentin de 100 nm de large du niveau métal 2 en fonction
de la capacité de couplage entre deux peignes espacés de 100 nm du niveau
métal 2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.21 Résistance de vias Kelvin isolés pour différents diamètres de via et une largeur
de ligne sous-jacente fixe de 100 nm. . . . . . . . . . . . . . . . . . . . . . .
3.22 Exemple de suivi de l’évolution de résistances de plusieurs lignes de 110 nm
de large testées en électromigration à 220˚C avec une densité de courant de
1,5 MA/cm2 : échantillon CuSiN A 400˚C + SiCN. . . . . . . . . . . . . . .
3.23 Distribution lognormale des résultats de tests d’électromigration sous une densité de courant de 1,5 MA/cm2 après 1500 heures. . . . . . . . . . . . . . . .
3.24 Distribution lognormale des résultats de tests d’électromigration pour les
échantillons CuSiN B et A effectués à 400 ˚C pour une largeur de ligne de
110 nm sous une densité de courant de 1,5 MA/cm2 , pour des températures
égales à 220, 260 et 300˚C. . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.25 Localisation des défaillances en fonction de la durée du test d’électromigration
observées par MEB pour le procédé CuSiN B 400˚C sur des lignes de 110 nm
de large. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.26 Coupes MEB transversales d’une chaîne de vias mettant en évidence le retrait
de la barrière diélectrique SiCN dans le cas de la barrière auto-positionnée
CuSiN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.27 Variation des courants de fuite et des résistances entre la mesure après CMP
du métal 2 et celle après intégration du niveau supérieur, normalisée par
rapport à celle de la référence SiCN. . . . . . . . . . . . . . . . . . . . . . .
3.28 Evolution de la résistance des lignes en fonction de la capacité de couplage
latérale pour un pitch de 280 nm : w × s=130 × 150 nm2 . . . . . . . . . . . .
3.29 Description d’une structure de test de métal 3 et via 2 (tous deux désalignés
de 40 nm par rapport au métal 2). . . . . . . . . . . . . . . . . . . . . . . .
3.30 Effet d’un désalignement intentionnel d’un via V2 par rapport à la ligne sousjacente M2 de 40 nm sur les résistances de via. . . . . . . . . . . . . . . . . .
3.31 Évolution de la résistance d’une chaîne de 10 000 vias V2 de 130 nm de
diamètre (vias alignés) après recuit de 400˚C durant 50 min. . . . . . . . . .
3.32 Observation MET et cartographie EELS de l’effet du désalignement d’un via
de 40 nm par rapport à la ligne sous-jacente dans le cas d’une technologie
90 nm avec un SiOC dense (εSiOC
= 3,1). . . . . . . . . . . . . . . . . . . . .
r
+
3.33 Profils SIMS (Cs ) du Ta et du Si pour des échantillons CuSiN/SiH4 et CuSiN/TMS recouverts de TaN et de SiO2 obtenus un après recuit 400˚C durant
50 min. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.34 Coupes transversales prises au MET de vias intégrant le procédé Punch Through
(PT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
vi
70
72
73
74
75
77
78
79
80
81
82
82
82
83
84
TABLE DES FIGURES
3.35 Influence du procédé Punch Through sur la résistance d’une chaîne de 10 000
vias V2 de 130 nm de diamètre (vias alignés). . . . . . . . . . . . . . . . . .
3.36 Schéma des structures de test d’électromigration dites NIST. . . . . . . . . .
3.37 Coupe schématique de l’empilement utilisé pour l’étude de l’électromigration.
3.38 Représentation lognormale de la durée de vie des interconnexions avec barrière
auto-positionnée CuSiN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.39 Observations MEB en vue de dessus de lignes de 1,2 µm de large avec CuSiN
après défaillance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.40 Coupes transversales MEB de lignes de 1,2 µm avec CuSiN après défaillance.
3.41 Composition de la surface d’une ligne avec pCuSiN après test. . . . . . . . .
3.42 Différentes représentations graphiques du courant de fuite I mesuré dans un
peigne de 140 nm de large (relié à la masse) en fonction de la tension appliquée
V aux deux extrémités d’un serpentin de 70 mm de long, de 140 nm de large
espacé de 140 nm du peigne. Ce dispositif est utilisé pour déterminer les modes
de conduction. Cas de la référence SiCN. . . . . . . . . . . . . . . . . . . . .
3.43 Durée de vie des interconnexions à champ fixe sous test TDDB à 150˚C. . . .
Schéma en coupe d’un dépôt chimique en phase liquide sur une surface conductrice selon l’Equation 4.3. . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.2 Schéma de principe en coupe de la croissance auto-catalytique de CoWP avec
activation Pd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.3 Gain sur la capacité de couplage en fonction de l’épaisseur de CoWP/B. . .
4.4 Profils SIMS (Cs+ ) du Co, Cu, Pd et B. Comparaison entre le CoWP activé avec du Pd et le CoWP auto-activé après recuit 400˚C durant 2 heures
(épaisseur de l’alliage de 20 nm). . . . . . . . . . . . . . . . . . . . . . . . .
4.5 Profils SIMS (Cs+ ) du Co, Cu, W, P. Test d’efficacité barrière de 20 nm de
matériau CoWP sans Pd utilisant un empilement Cu/CoWP recuit à 400˚C
durant 2 heures dans une atmosphère inerte. . . . . . . . . . . . . . . . . . .
4.6 Influence du plasma oxygène avant dépôt SiOC sur le matériau CoWP déterminée par SIMS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.7 Profils SIMS (Cs+ ) de Si, d’O et de Co pour un CoWP/B de 20 nm protégé
par 20 nm de SiCN. Empilement : Cu/CoWP/SiCN/(plasma O2 )SiOC . . .
4.8 Formation d’un nitrure de silicium à la surface du cobalt par un procédé
CuSiN et détermination des propriétés de barrière contre l’oxydation. . . . .
4.9 Cliché MEB et cartographies AES associées d’un échantillon de 5 nm de
CoWPB auto-activé après dépôt. . . . . . . . . . . . . . . . . . . . . . . . .
4.10 Cliché MEB et cartographies AES associées d’un échantillon de 5 nm de
CoWPB auto-activé après recuit de 400 ˚C durant 2 heures dans une atmosphère inerte. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
84
86
86
87
87
88
89
92
94
4.1
101
105
106
107
108
109
110
111
112
113
vii
TABLE DES FIGURES
4.11 Images MEB d’un réseau de lignes de 120 nm de large espacées de 120 nm
recouvertes par 20 nm de CoWP (procédé avec activation Pd). . . . . . . . . 114
4.12 Cartographie AFM d’un échantillon de 500 µm×500µm représentant un réseau
de lignes de 120 nm de large espacées de 120 nm recouvertes par 20 nm de
CoWP (procédé avec activation Pd). . . . . . . . . . . . . . . . . . . . . . . 114
4.13 Observations MEB en vue de dessus de réseaux de lignes de pitch 240 nm
avec un dépôt de 20 nm de CoWP avec activation Pd. . . . . . . . . . . . . . 115
4.14 Observations MEB en vue de dessus de divers motifs après un dépôt de 20 nm
de CoWP/B. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
4.15 Schéma d’intégration du CoWP/B avec retrait partiel de Cu. . . . . . . . . . 117
4.16 Evolution de paramètres électriques linéiques en fonction de l’épaisseur de
CoWP/B de résistivité 75 µΩ.cm, soit déposé directement après CMP soit
après retrait partiel d’une hauteur de cuivre égale à l’épaisseur de CoWP/B.
118
4.17 Représentation des étapes pouvant interagir directement avec le CoWP/B. . 119
4.18 Détermination de l’efficacité d’un plasma NH3 pour retirer l’oxyde natif de
Co à la surface du matériau. . . . . . . . . . . . . . . . . . . . . . . . . . . . 120
4.19 Schéma d’intégration TFHM avec gravure complète du via. . . . . . . . . . . 121
4.20 Schéma d’intégration TFHM avec gravure partielle du via. . . . . . . . . . . 121
4.21 Observations MET de chaînes de vias après intégration du niveau supérieur
sur 20 nm de CoWP/B pour une approche TFHM avec gravure complète du
via (approche décrite dans la Figure 4.19). . . . . . . . . . . . . . . . . . . . 122
4.22 Coupe MET d’une chaîne de vias présentant un niveau supérieur formé sur
20 nm de CoWPB et 40 nm de SiCN avec du SiOCH poreux (technologie
65 nm utilisant l’approche TFHM avec gravure partielle du via). . . . . . . . 123
4.23 Résistances de lignes de 100 nm de large mesurées après CMP d’un niveau
métallique intégré en utilisant une approche TFHM avec via gravé, partiellement ou complètement (le niveau inférieur est encapsulé par 5 ou 20 nm de
CoWPB et du SiCN). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
4.24 Schémas présentant l’effet de procédés de densification des flancs du matériau
poreux pour éviter toute surgravure. . . . . . . . . . . . . . . . . . . . . . . 124
4.25 Coupes MET et cartographies EELS de chaînes de vias avec 20 nm de CoWP/B
en variant l’épaisseur de SiCN et le nettoyage post-gravure. . . . . . . . . . . 126
4.26 Observations MEB en vue de dessus d’un motif encapsulé par du CoWP/B
soumis à un plasma à base d’oxygène suivi d’un nettoyage post-gravure. . . . 126
4.27 Schéma en coupe mettant en évidence la corrosion galvanique du CoWP/B
lorsque le procédé d’ouverture SiCN grave également complètement le CoWP/B.127
4.28 Schéma en coupe mettant en évidence l’impossibilité de la corrosion galvanique
du CoWP/B lorsqu’il reste du CoWP/B après gravure SiCN. . . . . . . . . . 127
viii
TABLE DES FIGURES
4.29 Schéma en coupe mettant en évidence la corrosion galvanique du CoWP/B
dans le cas où il reste du CoWP/B dégradé sous le via après ouverture SiCN.
4.30 Comportement du CoWPB à la gravure (couches de 5 et 20 nm d’épaisseur)
suivant l’approche TFHM avec gravure complète du via. . . . . . . . . . . .
4.31 Simulation présentant l’évolution de la résistivité du CoWPB sous un via en
fonction de la hauteur h et du diamètre S du via pour une valeur de résistance
finale Rv de 10 Ω. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.32 Effet du procédé Punch Through pour 5 et 20 nm de CoWPB encapsulés par
40 nm de SiCN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.33 Résistance d’une chaîne de 25 millions de vias de 100 nm de diamètre reliant
deux niveaux métalliques de 100 nm de large en fonction du procédé de dépôt
de la barrière TaN/Ta et de l’épaisseur de CoWPB (pour une épaisseur de
SiCN de 40 nm). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.34 Description de différents schémas d’intégration envisageables si les chimies de
gravure et de nettoyage doivent rester inchangées. . . . . . . . . . . . . . . .
4.35 Schéma en coupe d’une intégration standard avec SiCN (Figure 4.34.a). . . .
4.36 Schéma en coupe d’une intégration CoWP/B avec ouverture SiCN durant
l’étape de métallisation avec le procédé Punch Through (Figure 4.34.c). . . .
4.37 Détermination de la sélectivité du procédé CoWPB de 20 nm d’épaisseur. . .
4.38 Détermination de la sélectivité du procédé CoWPB de 20 nm d’épaisseur par
des mesures électriques sur des structures peigne/serpentin dont les lignes de
100 nm de large sont espacées de 100 nm. . . . . . . . . . . . . . . . . . . . .
4.39 Coupes MET de lignes de cuivre recouvertes de 5 ou 20 nm d’épaisseur de
CoWPB en variant l’épaisseur de barrière métallique TaN/Ta. . . . . . . . .
4.40 Influence de l’épaisseur de la barrière métallique sur le débordement latéral
du CoWP/B. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.41 Influence du procédé CoWPB sur la résistance des lignes. . . . . . . . . . . .
4.42 Tests d’électromigration V1 M2 avec une largeur de ligne M2 de 110 nm. . . .
4.43 Schéma de lignes étroites comparant la surface disponible pour la migration
d’atomes de cuivre sous un flux d’électrons (Cu/SiCN) pour les procédés CuSiN et CoWPB (5 nm). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.44 Tests d’électromigration V1 M2 avec une largeur de ligne M2 de 3 µm . . . .
4.45 Tests d’électromigration V2 M2 pour des vias de 110 nm de diamètre avec ou
sans procédé Punch Through (PT), avec le métal 2 encapsulé par 5 ou 20 nm
de CoWPB (densité de courant de 1, 5 MA/cm2 et température de 350˚C). .
127
129
130
131
132
135
136
136
137
137
138
139
141
143
144
145
146
ix

Documents pareils