Les points forts 2009

Transcription

Les points forts 2009
>
Editorial
L’année de tous les défis
Amorcée dans un climat de crise profonde pour se terminer
par une forte reprise, l’année 2009 a éprouvé notre capacité
d’adaptation – et nous avons bien réagi.
E
n collaboration avec nos partenaires, les équipes du Leti ont renforcé le point fort de nos
programmes sur les priorités industrielles pour soutenir la reprise économique continue.
Parallèlement, nous sommes restés orientés vers le futur et avons réalisé des investissements
à long terme afin de proposer de manière constante à nos partenaires l’innovation, le savoirfaire et l’assistance nécessaires pour permettre une commercialisation rapide des nouvelles
technologies. Le résultat de cette vision sera un renforcement de l’économie mondiale et une
meilleure qualité de vie pour tous les individus dans le monde.
Nous avons lancé de nouveaux partenariats en 2009 et nous sommes heureux d’accueillir
Replisaurus, Presto Engineering et R3Logic sur le Campus MINATEC.
De manière générale, 2009 a été une année porteuse d’excellents résultats et les chercheurs du
Leti se réjouissent de les partager avec vous dans le présent Rapport Annuel. Je tiens à donner
quelques exemples, bien que je connaisse le risque lié à une telle démarche.
A la pointe de la microélectronique, le Leti a présenté une cellule SRAM opérationnelle de 20nm,
mesurant moins de 0,09 µm². Nous avons amélioré les systèmes nano-électromécaniques
(NEMS) pour mettre au point des capteurs inertiels et chimiques dans notre continuelle avancée
vers des fonctions intégrées. Les détecteurs biologiques basés sur la microfluidique ont démontré leurs atouts dans les domaines de la médecine, des tests sur site et du contrôle environnemental.
CEA-Leti/G. Cottet
Le Leti et Nokia ont qualifié le transfert de données ultra-rapide sans fil (UWB) pour diverses
applications. Et enfin, bien loin au-dessus de nos têtes, le satellite Herschel nous a transmis
des images sur l’origine de l’univers. Ces images extraordinaires ont été capturées grâce aux
détecteurs infrarouge conçus et fabriqués au Leti, ce qui prouve que nos technologies nous
permettent de progresser sans oublier le passé.
2
Rapport d’activité 2009 /
Je vous souhaite de belles découvertes à foison au fil de votre lecture. ❉
Laurent Malier,
Directeur du Leti, Institut Carnot, Campus MINATEC
Le Leti et son environnement /
3
Sommaire
3
Le Leti et son environnement
62
3 ………………………Editorial
4 ………………………Sommaire
6 ………………………Prix
8 ………………………Les résultats majeurs
10 ………………………La différence du Leti
12 ………………………Clinatec
13 ………………………B2I
14 ………………………Créativité et Technologie
16 ………………………Le Leti au cœur du projet GIANT
20
32
Rapport d’activité 2009 /
90
Aider la personne
92 ………………………Une amélioration des soins médicaux
96 ………………………Se connecter et communiquer
98 ………………………Des dispositifs intelligents
100 ………………………Systèmes Intelligents pour le corps et l’esprit
101 ………………………Garantir la sécurité
Technologies
34 ………………………Lithographie
38 ………………………Les matériaux pour la microélectronique
39 ………………………Composants cœur
40 ………………………Substrats innovants
42 ………………………Integration 3D
44 ………………………Packaging et fiabilité
46 ………………………La microfluidique
48 ………………………Plate-forme / processus chimiques
51 ………………………Une Intégration Système
52 ………………………Plate-forme Conception de Circuits Intégrés
54 ………………………Laboratoire d’Ingénierie Nano photonique (LINA)
56 ………………………La technologie FD SOI
58 ………………………Traitement de signaux
60 ………………………Les technologies photoniques
4
64 ………………………Mémoire
66 ………………………Capteurs
68 ………………………Composants radiofréquence
70 ………………………Intégration des nanocomposants
72 ………………………Photonique CMOS
74 ………………………Optical imagers
78 ………………………Détecteurs de rayonnement X et Gamma
80 ………………………Éclairage à LED/OLED
82 ………………………Dispositifs médicaux implantables
84 ………………………Composants intégrés
85 ………………………Software : une initiative grenobloise
86 ………………………Plateforme de conception P2012
88 ………………………3Concevoir pour la 3D
Partenariats
22 ………………………Partenaires industriels
24 ………………………Partenaires académiques
28 ………………………Des partenariats
Composants intégrés
102
Protéger la planète
104 ………………………Capteurs environnementaux
105 ………………………L’électronique de puissance
106 ………………………L’Initiative GreenTouch
108 ………………………Comment aider la terre depuis l’espace
110
Organisation générale
Le Leti et son environnement /
5
Prix
K. ROMANJEK
Prix du Meilleur Article
ESSDERC
>
Prix CEA-Leti
2009
E. SOLLIER
C. DUPRE
Prix du Meilleur Poster
à la Conférence NanoBio
Europe 2009 (Grenoble)
Prix des Jeunes Scientifiques
ESSDERC
G. DESPESSE
V. DESTEFANIS
GRAVIT 2009
Prix du Jury
Prix du Meilleur Poster,
du Meilleur Article MRS
A. RIVAL
Prix du Meilleur Poster
à la Conférence NanoBio
Europe 2009 (Grenoble)
S.BOUTAMI
H. FILIOL
R. D’ERRICO et L. OUVRY
Prix du Meilleur Article Etudiant
PIMRC Tokyo 2009
6
Rapport d’activité 2009 /
Prix du 2 eme Meilleur Article
Etudiant
NewCAS-TAISA 2009
Prix des Sciences de la Matière
et de la Technologie
P. BATUDE
Prix du Meilleur
Article Étudiant
Le Leti et son environnement /
7
Les résultats majeurs
Première observation spatiale avec des
matrices bolomètres du Leti
au foyer du télescope
HERSCHEL de l’ESA
>
Les cinq résultats phare
de l’année 2009
Réalisation d’une
puce EWOD pour le dosage
immunologique à partir d’échantillons sanguins
Les premières images de la galaxie spirale M51
présentent une spectaculaire cartographie qui
confirment les performances de l'imageur bolométrique du LETI et de ce nouveau télescope.
Nous avons réalisé pour la mission FIRST (nom initial
d’HERSCHEL) une caméra à base de matrices de
bolomètres pour la détection sub-millimètrique. Ces imageurs haute définition
équipent le spectro-photomètre du
télescope.
Le protocole complet comprend une
quinzaine d’étapes fluidiques réalisées sur puce EWOD.
Nous avons fabriqué du silicium poreux sur des
flancs de motifs de plusieurs dizaines de microns de profondeur et de largeur variant entre
0,7 et 100 µm (Fig. 1) par voie électrochimique.
Ceci ouvre la voie à la fabrication des futures
piles à combustible basse température, pour
des applications petite ou moyenne puissance. Ces piles trouveront leur utilisation
dans les micro-ordinateurs et les
groupes électrogènes de secours.
8
Rapport d’activité 2009 /
Mise au point
d’une filière générique
pour MEMS
Fig. 1 : Porosification sur flancs
de motifs pour différentes largeurs
de tranchées.
Fig. 2 : observations MEB d’une
gravure profonde (70µm) obtenue
selon le procédé Bosch. Porosification du silicium sur flancs de motifs
d’une épaisseur de 2.5µm environ.
Première image en 3 couleurs
(λ= 70, 100, 160µm)
Développement
d’une antenne large bande
pour un module émetteur-récepteur
complet compatible avec les applications
multimédia HD.
Nous avons montré que les puces fonctionnant par
électro mouillage sont très versatiles. Une puce peut
aussi bien être utilisée pour réaliser un test immunologique ou génétique (basé sur de la PCR en temps
réel) à partir d’échantillons sanguins. Ce travail a
été réalisé dans le cadre du groupe de travail
CEA -BioMérieux sur les tests “point Of Care”.
Porosification
du silicium cristallin
sur flancs de tranchées
pour les piles à combustible
Plan focal réalisé au Leti
Nos travaux ont porté sur la conception d’une antenne large bande
(57-66 GHz) compatible avec l’ensemble des bandes de fréquence
allouées dans le monde pour la transmission sans fil de signal
vidéo haute-définition (w-HDMI). Cette antenne utilise des technologies industrielles à l’état de l’art puisqu’elle est réalisée sur une
technologie IPD (Integrated Passive Devices, STMicroelectronics)
sur un substrat en verre et reportée par flip-chip sur un module en
céramique HTCC (High-Temperature Co-fired Ceramic, Kyocera).
Les premiers prototypes ont démontré des performances en accord avec les spécifications de l’application en termes de
bande passante et de gain de rayonnement. La suite du
projet permettra d’associer cette antenne à un
transceiver CMOS conçu par le CEA-LETI et
STMicroelectronics pour obtenir un module complet intégré sur céramique.
Nous avons développé une filière MEMS suffisamment générique pour être réutilisable quelle que
soit la complexité du type de capteur à réaliser. Cette
filière pourra remplacer avantageusement le développement actuel par filières spécifiques.
Le cœur de cette filière repose sur l’utilisation du silicium monocristallin comme matériau pour l’élément sensible avec une épaisseur variable, de 1µm
à plusieurs dizaines de micromètres. L’utilisation
de substrat silicium standards et de procédés
également standards pour la microélectronique garantissent un coût minimal du composant.
Vue en coupe de la technologie
complète
Vue aérienne d’une réalisation
(matrice de membranes
circulaires pour c-MUT).
Le Leti et son environnement /
9
La différence du Leti
> Allant des technologies de base à la conception et la réalisation
de composants intégrés jusqu’à leur intégration dans des systèmes,
les activités du Leti sont focalisées sur la micro et nano électronique,
les microsystèmes, les imageurs, la biologie et la santé,
les télécommunications et les objets nomades.
AU TRAVERS DE SES
COOPÉRATIONS AVEC
85% de notre activité de recherche se fait dans le cadre de
collaborations avec des partenaires industriels. Dans le but
de permettre à ses partenaires d’exploiter les résultats des
recherches réalisées en commun, le Leti a déposé 283
brevets en 2009, portant ainsi son portefeuille à plus de 1500
familles de brevets.
En nous appuyant sur ce portefeuille de propriété intellectuelle, nous développons de nouvelles étapes et de nouveaux
procédés pour les micro et nanotechnologies et nous réalisons des composants avancés pour accélérer leur intégration dans des systèmes. De plus, pour faciliter la diffusion
de ces innovations dans les industries intégratives, le Leti
offre aussi un accès à des outils de caractérisation et de
conception à l’état de l’art.
Avec plus de 200 clients et 350 contrats par an, le Leti est un
partenaire privilégié du monde industriel. 35 start-ups de
haute technologie ont été créées, parmi lesquelles Soitec,
leader mondial du SOI. Dans les 20 dernières années, les
sociétés essaimées du Leti ont crée plus de 2000 emplois
directs.
10
Rapport d’activité 2009 /
Quatre modèles de contrat, s’étageant de quelques mois à
plusieurs années, peuvent être proposés:
• Des projets de R&D collaboratifs permettant aux partenaires de partager les coûts de recherche et d’explorer des
voies dans le cadre d’appels d’offres publics, souvent pour
des actions long terme.
• Le laboratoire commun offre un cadre contractuel idéal
pour traiter un ensemble de projets avec une capacité de réponse optimisée pour répondre aux besoins de l’industriel.
Etabli pour au minimum 3 ans, ce type de contrat donne un
cadre unique pour conduire plusieurs projets et garantit une
adéquation permanente aux intérêts du partenaire ; il peut
aller jusqu’au transfert de technologie. Des équipes du Leti
et du partenaire sont impliquées.
>
licences actives
23
laboratoires communs
884
publications en 2009
L’avantage compétitif donné
par la protection par l’IP et
la propriété des résultats.
283 brevets déposés en 2009
1 574
brevets en portefeuille
Microélectronique
Optronique, photonique
Microtechnologie
Telecommunications, design
Biologie, santé
• Des contrats bilatéraux d’une durée typique de deux ans,
avec des objectifs de performance précis pour le moyen
terme et une planification définie ; ces contrats précisent dès
le départ les conditions de transfert et d’exploitation.
L’équipe projet comprend principalement des chercheurs du
Leti, mais elle peut aussi inclure du personnel du partenaire.
61
partenaires industriels
>
> >
Comment collaborer avec le Leti ?
>
N
otre objectif est de promouvoir de nouvelles technologies pour améliorer la qualité de vie pour une large
gamme d’applications : santé, télécommunications, électronique grand public, défense et sécurité, transports, bâtiment, sports et loisirs, environnement.
>
Depuis plus de quarante ans, l’activité du Leti est centrée sur l’innovation
depuis la recherche amont jusqu’au transfert technologique pour permettre
à nos partenaires de construire de la valeur et de créer des emplois.
La flexibilité d’une
activité externalisée
100
>
>
>
La réactivité et
l’implication comparables
à celles d’une équipe interne
>
>
Une recherche appliquée
pour créer de la valeur et
améliorer la qualité de la vie
:
>
À SES PARTENAIRES
>
L’INDUSTRIE, LE LETI OFFRE
Brevets
en
portefeuille
Brevets
déposés
in 2009
409
271
266
340
288
59
57
58
63
46
Répartition
du portefeuille d’activité
Brevets déposés annuellement
• Dans un transfert de technologie, nous fournissons à notre
partenaire la documentation nécessaire à la réalisation des
procédés et nous formons son personnel sur nos moyens.
Enfin un support technique et une assistance à la production
sur le site du partenaire peuvent être réalisés. ❉
Le Leti et son environnement /
11
Clinatec
Bâtiment des industries intégratives
Le B2I accompagne les entreprises
traditionnelles dans la conception
et la réalisation de fonctions innovantes intégrant des technologies
émergentes
>
Clinatec met tout en œuvre pour devenir un centre de recherche
biomédicale de pointe dédié au développement des microet nanotechnologies afin d’améliorer le traitement des maladies
cérébrales et neurodégénératives.
D
>
Cartographier l’activité cérébrale
En 2009, Clinatec a acheté un magnétoencéphalographe
(MEG), un système de très haute sensibilité qui permet de
cartographier en 3D le cerveau en mesurant les champs magnétiques très faibles créés par l’activité cérébrale. La MEG,
qui a été installée en mai 2010, a de nombreuses applications, tant au plan du diagnostic au cours d’essais cliniques,
(par exemple pour localiser la partie du cerveau où naissent
les crises d’épilepsie), ou dans des programmes de recherche (par exemple, comprendre les mécanismes de la
stimulation cérébrale profonde utilisée dans le traitement
de la maladie de Parkinson) ou localiser le site optimal
d’implantation des neuroprothèses. ❉
Le Bâtiment des Industries Intégratives (B2I), qui ouvrira ses portes
cette année, est conçu pour aider les petites et moyennes entreprises
des secteurs industriels traditionnels à tirer parti des nouvelles technologies et à créer de la valeur dans un environnement très compétitif.
Les points forts
2009
> En plus de sa nouvelle machine MEG, Clinatec
a acheté un système d’IRM intra-opératoire en 2009.
L’IRM, à grand diamètre, sera placé sur un rail plafonnier entre la salle d’opération du nouveau bâtiment
et l’installation de diagnostic, offrant des
capacités multifonctionnelles de pointe. Il servira, entre
autres, à soutenir les études cliniques sur la stimulation
du cerveau profond et l’interface cerveau-ordinateur
à la base des neuroprothèses.
“
Nos progrès en 2009
concernent le développement clinique futur
de Clinatec, une étape
conceptuelle en vue
du début des activités de
recherche clinique lorsque
nous nous installerons
dans notre nouveau
bâtiment.
Prof. Louis Alim Benabid,
Directeur Scientifique
de Clinatec
”
L
’installation, qui s’étend sur 5 680 m² et 5 étages sur le
Campus MINATEC, fournira les outils permettant aux
PME partenaires du CEA d’innover et de créer de la valeur et
des emplois en concevant et en mettant en œuvre des
produits innovants utilisant les micro et nanotechnologies
développées dans les laboratoires du CEA-Leti.
>
epuis son lancement en 2006 par le Leti, le Centre Hospitalier Universitaire de Grenoble, l’INSERM et l’Université Joseph Fourier, le projet Clinatec a rassemblé des
équipes multidisciplinaires de praticiens médicaux, de biologistes et d’ingénieurs. Ces équipes étudieront des systèmes
de diagnostic et de traitement innovants, de la preuve de
concept aux essais de faisabilité, notamment des neuroprothèses pour le traitement de handicaps moteurs ou sensoriels, ou le développement de systèmes de neurostimulation
originaux pour traiter la maladie de Parkinson et certains
symptômes de la maladie d’Alzheimer.
Clinatec a sélectionné l’entreprise Léon Grosse pour concevoir son nouveau bâtiment qui s’étend sur 5 000 m2 et cinq
étages, dont le permis de construire a été obtenu en avril
2010. La nouvelle installation, qui sera achevée en 2011,
comprendra un secteur médico-chirurgical avec une salle
d’opération et des chambres d’hébergement pour les patients participant aux essais cliniques.
Modèles d’innovation
Les équipes multidisciplinaires du B2I offriront deux
approches étendues de l’innovation :
• En aidant les entreprises à identifier de nouvelles applications à forte teneur technologique, et en offrant un soutien
pour la conception et la réalisation de démonstrateurs de
concepts innovants.
• En offrant un savoir faire en conception et intégration de
systèmes pour des entreprises ayant déjà identifié de nouveaux produits pour de nouveaux marchés et désireuses
d’accéder à des études de faisabilité, des maquettes ou des
démonstrateurs fonctionnels.
>
>
Équipement de pointe pour une
installation de recherche novatrice
Outils pour les PME
Sur la base de la culture du Leti, qui associe, pour la conduite
de projets d’innovation, une démarche techno push et une
approche user pull, le B2I offrira deux initiatives phare :
• PEPITE : La PlateformE Pour l’Innovation Technologique
des Entreprises propose des projets allant jusqu’à 12 mois
orientés vers des résolutions de problèmes technologiques,
des validations de concepts, des études de faisabilité… à
base de compétences et technologies LETI
• LABEL R&D : cette initiative conjointe avec l’Institut National Polytechnique de Grenoble (Grenoble INP) propose aux
entreprises un trinôme d’étudiants ingénieurs bientôt
diplômés pour réaliser un projet industriel encadré par des
experts du Leti et des enseignants-chercheurs du GINP. ❉
“
En résumé, nous offrons à nos partenaires des
secteurs industriels traditionnels, un accès à la richesse
et la profondeur technologique des laboratoires du Leti,
leur expertise, afin de leur permettre d’effectuer les
meilleurs choix stratégiques et technologiques et d’être
compétitifs sur la scéne nationale et internationale.
12
”
Roland Blanpain, Responsable Département Systèmes
et Intégration Systèmes
Rapport d’activité 2009 /
Le Leti et son environnement /
13
Créativité et Technologie
>
L’humanisation de la technologie
par l’art, la culture et les usages
Les nouvelles technologies les plus performantes nécessitent
des applications qui prennent en compte les aspects humains, culturels
et sociaux. Le Leti et ses partenaires ont mis en place des plateformes
multidisciplinaires pour intégrer ces dimensions dans les technologies
et systèmes qu’il développe.
C
es plateformes multidisciplinaires sont :
• MINATEC IDEAs Laboratory. Ce plateau d’innovation
partagée coordonne une collaboration entre des partenaires
industriels et universitaires et des collectivités territoriales
pour innover conjointement en 2009 sur des thèmes se
rapportant à l’énergie, à la mobilité, aux transports et aux
bâtiments intelligents.
• L’ENSCI, École Nationale Supérieure de Création Industrielle. Le Leti et l’ENSCI créent une résidence grenobloise
qui associe des étudiants et des chercheurs pour innover
ensemble. Le but est d’imaginer à partir d’une approche
“design centric”, des concepts d’applications proches des
besoins sociétaux et viables du point de vue technologique
et économique.
• UmanLab est une équipe de recherche créée par l’Université Pierre Mendès France focalisée sur la recherche et sur
le développement de méthodes utilisant les sciences
humaines et sociales en appui à l’innovation.
Les points forts
2009
> Dans une démarche créative associant
arts et sciences, le Leti a intégré des RFID,
des accéléromètres et des capteurs capacitifs longue distance dans des œuvres
d’art conçues par Yann Nguema, bassiste
pour le groupe pop electro dub EZ3kiel.
L’exposition “Mécaniques poétiques"
de Yann Nguema, qui s’est tenue pendant
trois mois au CCSTI à Grenoble en 2009,
a attiré près de 6 000 visiteurs.
> Notre objectif est de faciliter l’innovation
en intégrant les tendances, la culture
et les arts avec l’expertise technologique
du Leti. La Plateforme d’Innovation Ouverte
et Partagée soutient cette démarche pour
l’ensemble des trois instituts de la Direction
de la Recherche Technologique du CEA.
> En 2009, les partenaires de MINATEC
IDEAs Laboratory étaient l e CEA , EDF,
Bouygues et Renault, Le Conseil Général
de l’Isère, l’Université Pierre Mendès France,
et l’Université Stendhal
• L’Atelier Arts et Sciences, mis en place par l’Hexagone
Scène Nationale et le Leti, travaille sur la poursuite de
travaux de recherche conjoints entre des artistes et des
scientifiques en vue d’une exploration des applications
des technologies du CEA toute en sensibilité.
Les résidences de l’Atelier Arts et Sciences ont permis de
produire spectacles, expositions et rencontres à l’intersection de l’art et de la science, contribuant ainsi à innover mais
aussi à rapprocher science et société. ❉
14
Rapport d’activité 2009 /
Le Leti et son environnement /
15
Le Leti au cœur du projet GIANT
>
Le Leti participe à l’implantation
d’un nouveau campus qui rivalisera
avec les plus grandes universités
technologiques mondiales
Le projet GIANT (Grenoble Innovation for Advanced New Technologies), associe des grands instituts de recherche, des universités
et une école de commerce implantée sur un quartier de Grenoble
(la presqu’île) en pleine mutation. L’objectif de ce quartier étant
de devenir un campus de renommée internationale intégrant des
laboratoires, des universités, des industriels, des lieux de vies,
des commerces, des espaces de sport, etc.
D
>
ans les six prochaines années, plus de 1,3 milliards
d’euros seront investis sur le site de 220 hectares. Fort
du succès de MINATEC, GIANT a été mené pour faire de
Grenoble le Numéro un mondial de la science et de la technologie, depuis le domaine de la recherche fondamentale
jusqu’à la gestion des innovations, pour rivaliser ainsi avec
des institutions du plus haut niveau telles le MIT.
Le campus GIANT comprendra trois pôles de recherche technologique répondant aux trois principaux enjeux sociétaux :
les micro- et les nanotechnologies (MINATEC et le Leti) ; les
nouvelles technologies de l’énergie (GreEn et le Liten) ainsi
que les applications pour la santé et les biotechnologies
(NanoBio et le Leti).
Le Leti à Minatec : les nanotechnologies
au service des technologies de l’information
et de la communication
Pendant les premières années du projet GIANT, MINATEC
va croître et atteindra une superficie totale de 130 000 m 2.
Ce qui se traduira pour le Leti par :
• La mise en service du Bâtiment des Industries Intégratives (B2I). Ce bâtiment de 5 000 m 2 sera le cœur des par-
16
Rapport d’activité 2009 /
tenariats du Leti avec des petites et moyennes entreprises
afin de les aider à être concurrentielles dans un environnement économique en mutation rapide.
• La création d’un nouveau bâtiment pour abriter la plateforme image. Cette plateforme constituera le socle technologique du “Cluster Imagerie Isère” à la fois pour les
applications miniaturisées (capteurs, caméras, scanners de
code barre par laser optique, etc) et pour les applications
novatrices dans des domaines tels que l’imagerie médicale
et l’éclairage basse énergie.
• La construction de LabFab. Ce projet, fruit d’une étroite
collaboration du Leti avec l’Institut National de l’Énergie
Solaire (INES) et Photowatt Technologies, intégrera une ligne
de production prototype pour les cellules photovoltaïques
à hétéro-jonction.
• La construction d’un bâtiment tertiaire, qui regroupera les
1 000 personnes travaillant dans les salles blanches de
micro-nanotechnologie, situé au sein d’un nouveau quartier
MINATEC agréable à vivre tel un véritable campus. De plus
afin de faciliter leurs déplacements, un nouveau concept de
funiculaire va être construit pour constituer une “liaison
blanc-blanc” entre les différentes salles blanches du site.
Le Leti et son environnement /
17
Le Leti au cœur du projet GIANT
Outre le Leti, les partenaires de GIANT
dans le domaine de la recherche
et de la formation sont :
> Le CNRS, le Centre National
de la Recherche Scientifique
> L’ESRF, European Synchrotron
Radiation Facility (recherche par
faisceaux de rayons X intenses)
> L’ILL, Institut Laue-Langevin
(recherche par faisceaux
de neutrons intenses)
> L’EMBL, European Molecular
Biology Laboratory
> GEM, Grenoble École de
Management
>
Les points forts
2009
Le Leti et NanoBio : les nano technologies
au service de la santé
Le centre d’excellence NanoBio associe les micro et nano
technologies à la recherche fondamentale en biologie et médecine. Le centre développera de nouveaux outils miniaturisés dédiés aux analyses, diagnostics et thérapies médicales.
NanoBio est une collaboration entre le Leti, la Direction des
Sciences du Vivant (CEA-DSV), l’Université Joseph Fourier
(UJF) et le Centre Hospitalier Universitaire (CHU) de
Grenoble. Un puissant réseau industriel de sociétés comme
bioMérieux et Becton Dickinson, de nombreuses jeunes entreprises à croissance rapide et une excellente collaboration
continue avec le CHU soutiendront le centre.
NanoBio est orienté vers les nanomatériaux et nanostructures pour les organismes vivants, la vectorisation / l’obtention de molécules in vivo, les microsystèmes et la chimie
pour la détection et l’analyse biomoléculaire in vitro
(“biopuces”), ainsi que les outils d’imagerie et d’analyse
moléculaires.
Le Leti supervise la première phase de construction de
NanoBio, qui couvrira au final un espace de 30 000m² pour
1 300 personnes. La période initiale de six ans portera sur
trois sites principaux :
• NanoBio1, un bâtiment d’une superficie hors oeuvre de
4 200 m 2, ouvert fin 2009.
• NanoBio2, d’une superficie hors œuvre de 2 200 m 2,
ouvrira fin 2011.
• Clinatec, un centre de recherche biomédical dédié à la
nanomédecine pour les innovations dans le domaine des
diagnostics, du traitement et de la guérison des TOC et des
maladies neurodégénératives comme Alzheimer et Parkinson. Le centre ouvrira en 2011. ❉
> Grenoble INP, l’Institut
Polytechnique de Grenoble
> L’UJF, Université Joseph Fourier
18
Rapport d’activité 2009 /
Le Leti et son environnement /
19
Partenariats
20
Rapport d’activité 2009 /
Partenaires industriels
> 22
Partenaires académiques
> 24
Des exemples de partenariats
> 28
Partenariats /
21
Partenaires industriels
>
Un accroissement significatif
du nombre de laboratoires
communs
D
ans le domaine de la nanoélectronique, la coopération
avec STMicroelectronics a été le témoin d’avancées
majeures dans les technologies FDSOI et PC RAM. Notre collaboration avec ST a également donné des résultats de
classe internationale dans le domaine des technologies de
communication haut débit pour le transfert de données vidéo
(technologies à 60 GHz pour la norme WHDMI).
Leaders mondiaux
Nos accords avec des leaders mondiaux de la communication et de la technologie incluent maintenant des partenariats avec des sociétés comme Nokia et Fujitsu dans le
domaine des communications basse consommation et Trixell
et Siemens dans le domaine de l’imagerie médicale.
Le Leti s’est également beaucoup investi dans la mise en
place ou la poursuite de coopérations avec des PME et des
startups innovantes comme MOVEA dans le domaine de la
capture de mouvement pour des applications médicales et
grand public, Sofradir et ULIS dans le domaine de l’imagerie
infrarouge et Kalray pour les circuits numériques programmables pour le calcul embarqué. ❉
CEA-Leti/G. Cottet
Nous avons également mis en place des laboratoires communs avec Brewer Science pour le développement de matériaux innovants de collage temporaire et avec Replisaurus
pour de nouvelles techniques de métallisation cuivre.
>
En 2009, le Leti a renforcé sa stratégie de mise en place de laboratoires
communs avec des sociétés, allant de startups innovantes à des leaders
mondiaux. Ces partenariats permettent la mise en place d’actions
long terme favorables à la création durable de valeur pour l’industriel.
22
Rapport d’activité 2009 /
Partenariats /
23
>
Partenaires académiques
Quelques exemples
illustrants nos collaborations
dans différents domaines.
Caractérisation, RTB : IEMN, Lille
Dans le cadre de la RTB, le LETI et l’IEMN
ont acheté en 2009 un équipement unique en
France. Cet instrument ultravide associant
4 têtes champ proche indépendantes permet de
mesurer des propriétés de transport à l’échelle
nanométrique. L’installation à l’IEMN de
cet équipement est couplée à un programme de
recherche commun incluant un contrat d’accueil
du personnel LETI rapprochant l’expertise
complémentaire des deux instituts.
RTB, initiative nationale lancée en 2003 pour supporter les infrastructures
de recherches au CNRS et au Leti.
>
Le Leti
plateforme de recherche
intégrative ouverte
à la communauté
scientifique
Composants acoustiques :
Femto ST, Besançon
Cette collaboration, débutée depuis une dizaine
d’années, s’intensifie depuis 3 ans. Elle est centrée
sur les composants acoustiques et en particulier
sur les résonateurs de très haute performance
basés sur le report de couches piézoélectriques
monocristallines. Ce projet de recherche conjoint
a donné lieu à 2 publications, M Pijolat et al, APL 95,
182106 _2009 et JS Moulet et al, IEDM 2009.
Afin de structurer et d’exploiter au mieux les compétences complémentaires de Femto ST et du Leti,
une thèse en cotutelle est en cours et a déjà donné
lieu à 2 publications de rang mondial dans le journal
APL et lors de la conférence IEDM 2009
Spintronique : IEF, Paris
Sous l’impulsion de l’IEF et du Leti,
les partenaires du projet ANR CILOMAG,
ont lancé un centre d’intégration 200mm
pour les composants spintroniques
hybrides. Ce centre adresse à la fois
les aspects conception/design des circuits
et l’intégration above IC d’éléments magnétiques. Afin de fédérer la communauté française de la spintronique, ce premier projet
s’élargit dans le cadre du projet Nano Innov
SPIN regroupant 11 partenaires en adressant
les technologies vanne de spin.
Partenariat stratégique :
LTM , Grenoble
Projets Nano Innov :
LAAS, Toulouse
Initié lors du lancement du réseau des
grandes centrales, la collaboration avec
le LAAS prend toute son ampleur en 2009
avec deux nouveaux projets Nano Innov.
Le projet ToPoGaN vise à mettre en place
une filière de transistors GaN sur Silicium
pour les applications véhicules électriques.
Par ailleurs le projet NanoCom a pour
objectif de développer la nouvelle génération de réseaux de capteurs intégrés
par exemple dans des ailes d’avions
pour la maintenance prédictive.
Le Leti et le LTM, laboratoire académique
hébergé au sein du Leti, ont signé un
accord de collaboration stratégique afin
de renforcer les liens existants permettant un accès facilité à la plate-forme
microélectronique pour ce dernier.
Ce partenariat est fondé sur le partage
de roadmaps conduisant au renforcement
de thématiques de recherche clés et
est porté par une volonté commune
de valorisation industrielle.
NanoInnov, financé par l’Agence Nationale pour la Recherche (ANR)
est une initiative nationale pour les nanotechnologies
lancée en 2009 dans le cadre du plan de relance français.
24
Rapport d’activité 2009 /
Partenariats /
25
Partenaires académiques
>
Projets phares
avec des leaders mondiaux
Le Leti, acteur clé des programmes technologiques Européens
et dans le façonnage de la recherche européenne
Le Leti participe activement à 2 JTI (“Joint Technology Initiative”), ARTEMIS et ENIAC
et à 5 plateformes, EpOSS, PHOTONICS 21, ERTRAC, Nanomedecine et eMobility.
• Cinq plateformes :
• Two Joint Technology
Initiatives:
Un programme sponsor
pour l’alliance NanoVLSI, Caltech
Depuis 2 ans, Caltech–KNI et le Leti
ont joint leur expertise pour passer de l’ère
du « nanocraft » à l’intégration très grande
échelle (VLSI) de nanosystèmes.
Les 2 institutions collaborent étroitement
pour transformer des prototypes de laboratoire en systèmes complexes et robustes
de capteurs transférables à l’industrie.
Afin de mieux concevoir et d’accélérer
la mise sur le marché de ces capteurs
en rupture, un programme “sponsor” a été
lancé en 2009 favorisant une interaction
étroite entre les partenaires industriels
et les chercheurs de l’alliance NanoVLSI
(www.nanovlsi.com).
Aujourd’hui, 5 industriels ont déjà rejoint
le programme ayant ainsi en avance de
phase accès aux résultats de ces travaux
de recherche.
26
Rapport d’activité 2009 /
Le LETI à Albany
Le Japon : un partenaire privilégié
L’Alliance IBM effectue sa R&D en
microélectronique pour le nœud 22nm
sur le site du CNSE à Albany, USA.
Quelques 300 ingénieurs chercheurs
comparent et évaluent les aptitudes de
différentes technologies (Si massif, Finfet,
ETSOI) à atteindre les ambitieuses
performances électriques.
Le Leti est officiellement partenaire de
recherche de l’Alliance IBM depuis 2008.
Fin 2009, dans le cadre de la collaboration
tri partite ST-IBM-Leti, le Leti a détaché
5 salariés sur le site d’Albany. Ils ont été
intégrés à l’équipe ETSOI (pour Extremely
Thin Silicon on Insulator) pour participer
au développement de cette technologie.
Ils sont impliqués dans les développements procédés, dans l’intégration ainsi
que dans la caractérisation des dispositifs
fabriqués. Avec IBM et ST, ils conçoivent et
développent les expériences pour atteindre
les objectifs du nœud 22nm.
Acteur de référence sur de très nombreux
sujets du domaine des micro-nanotechnologies, le Leti construit des relations
privilégiées avec ses partenaires japonais
conduisant en 2008 à un accord de coopération avec le Micromachine Center.
Ces liens se sont renforcés depuis 5 ans,
aboutissant à des partenariats avec des
industriels de renom comme Nikon, NEC,
Fujitsu ou encore Azbil-Yamatake, sur des
thèmes aussi variés que la lithographie,
les puces à ADN ou les technologies RF.
Face à la demande et aux opportunités
croissantes, un représentant LETI est
aujourd’hui sur place d’où il consolide,
suit et développe contacts, contrats et
collaborations. Temps fort de l’année,
le Leti Day sur Tokyo rassemble plus d’une
centaine d’acteurs et de partenaires japonais, pour leur présenter ses
dernières technologies et échanger
au plus haut niveau.
Contact : [email protected]
Partenariats /
27
Des partenariats privilégiés
>
Le Leti et l’Onera : aider les clients
de l’industrie aérospatiale
à être concurrentiels
à l’échelle mondiale
Les partenariats du Leti avec les agences gouvernementales
et les instituts de recherche englobent une collaboration avec l’Onera,
le centre de recherche aérospatial national français.
L
’Onera apporte un savoir-faire d’expert et une assistance
technique à l’industrie aérospatiale dans le monde
entier. Ses activités de recherche sont orientées vers des
services destinés à faciliter la conception d’aéronefs commerciaux modernes et de divers véhicules volants pouvant
atteindre des vitesses supersoniques et hypersoniques.
La contribution de l’Onera aidera les équipementiers à s’imposer sur le marché international.
Le Leti aide l’Onera à développer la technologie d’instrumentation requise pour atteindre ses objectifs très ambitieux en
termes de qualité et de précision, comme l’ajout de capteurs
et de systèmes de mesure sur ses équipements.
La division GMT exploite des souffleries dans ses centres de
Modane-Avrieux et du Fauga-Mauzac. Celles-ci couvrent
l’enveloppe de vol complète des véhicules volants, depuis des
vitesses très basses jusqu’à des vitesses hypersoniques.
Les points forts
2009
28
Rapport d’activité 2009 /
Grâce aux travaux menés dans les domaines de la métrologie de pointe, de la surveillance des pressions, de la reconstruction des formes et de l’intégration de capteurs MEMS,
le Leti et l’Onera ont pour objectif :
• d’augmenter la densité des mesures sur les ailes des modèles en utilisant un packaging avancé basé sur des rubans
fins et souples ;
• de développer un système miniaturisé à base de MEMS et
d’ASIC pour la détection des déformations de structure et du
débit d’air.
Cette collaboration résulte en une simulation expérimentale
améliorée du comportement de modèles d’aéronefs exposés
à des conditions de flux. ❉
> Les objectifs conjoints du Leti et de l’Onera
sont de concevoir des technologies améliorées,
susceptibles de relever les défis majeurs de l’industrie
aérospatiale et de permettre à nos clients de renforcer
leur position commerciale.
Partenariats /
29
Des partenariats privilégiés
>
Des partenariats privilégiés
>
Le développement des
systèmes de détection infrarouge
de la troisième génération
En partenariat avec la société SOFRADIR qui conforte ainsi sa position
de leader mondial dans le domaine des équipements de détection
infrarouge, le Leti conçoit de nouveaux produits pour l’industrie
de la défense nationale.
Le Leti et le CNES :
de multiples projets depuis
presque deux décennies
Le Leti entretient depuis des années un partenariat étroit avec
le CNES, l’agence gouvernementale en charge de la planification
et de la mise en œuvre de la politique spatiale en France.
D
epuis près de 20 ans, le Leti collabore sur divers projets,
tant en recherche technologique (R&T) qu’à travers des
missions spatiales particulières, en allant jusqu’à la fourniture et la qualification d’instruments complets.
La collaboration la plus connue a débouché sur la mise
au point des magnétomètres RMN utilisés pour le projet
Oersted en 1999 puis à pompage optique de l’hélium pour
la mission Swarm, dont le lancement est prévu en 2012.
Dans les deux cas, le Leti a conçu et produit ces instruments,
le CNES ayant apporté son savoir faire pour obtenir leur
qualification spatiale.
Les instruments conçus pour les missions spatiales devant
être conformes aux standards de fiabilité et de précision les
plus élevés, nos projets avec le CNES englobent donc
certains des travaux les plus complexes menés par le Leti.
Ils constituent ainsi une vitrine technologique de notre
savoir-faire, d’où notre volonté de continuer à renforcer
cette fructueuse collaboration. ❉
E
n 2009, les travaux de recherche du Leti réalisés en
collaboration avec SOFRADIR ont porté sur le développement de détecteurs infrarouges de troisième génération
basés sur la technologie HgCdTe (mercure/cadmium/tellurure). Des prototypes d’excellente qualité se situant au meilleur niveau de l’état de l’art mondial ont ainsi pu être réalisés
sur divers plans focaux infrarouges : matrices de détection
multi spectrales, matrices de photodiodes à avalanches, matrices d’imagerie active 3D, matrices grande longueur d’onde
d’ultra haute résolution (2mK). Matrices de photodiodes à
très faible courant d’obscurité en technologie p sur n.
Cette nouvelle classe de détecteurs infrarouges constitue
une avancée significative qui permettra d’accroitre de façon
considérable les performances des systèmes qui en seront
dotés en augmentant en particulier leurs capacités d’identification. Elle conduira également à les rendre plus fiables en
réduisant les contraintes opérationnelles. ❉
30
Rapport d’activité 2009 /
Les points forts
2009
> La conception des micro et nanotechnologies, des
détecteurs, des circuits intégrés et des microsystèmes
ainsi que la sécurité des technologies de l’information
et de la communication peuvent également trouver
des applications sur le marché de la défense suivant
les exigences de nos partenaires industriels. Le Leti
se positionne comme un pourvoyeur de technologies
et de systèmes pour répondre à ces besoins.
Les points forts
2009
> Bien que les projets spatiaux du Leti avec le CNES
impliquent souvent des développements technologiques dédiés à des applications très spécifiques, cette
collaboration conduit aussi parfois à des débouchés
commerciaux. Ainsi les résultats de nos travaux menés
avec le CNES en 1997 sur une centrale d’attitude
basée sur les microfluxgates ont été réutilisés pour la
mise au point de la technologie de capture de mouvement qui a facilité le lancement de Movea en 2007.
> Le Leti et ses partenaires, en particulier le CNES
et DAPNIA, sont également partie prenante dans le
développement du télescope de la mission spatiale
HERSCHEL, destiné à l’observation de l’univers dans
les plages infrarouges et submillimétriques. En 2009,
les toutes premières images utilisant les matrices
du bolomètre du Leti se sont révélées d’exceptionnelle
qualité, validant l’excellent travail effectué.
Partenariats /
31
Technologies
32
Rapport d’activité 2009 /
Lithographie
> 34
Matériaux pour la microélectronique
> 38
Composants cœur
> 39
Substrats innovants
> 40
Intégration 3D
> 42
Packaging et fiabilité
> 44
Microfluidique
> 46
Plate-forme / processus chimiques
> 48
Intégration Système
> 51
Plate-forme Conception de Circuits Intégrés
> 52
Laboratoire d’Ingénierie Nano photonique (LINA)
> 54
Technologie FD SOI
> 56
Traitement des signaux
> 58
Technologies photoniques
> 60
Technologies /
33
Lithographie
CONTACT : [email protected]
>
Des partenariats
favorisant l’émergence
d’options d’impression
économiques et efficaces
En partenariat avec IBM, ST, Nikon, TSMC, et d’autres leaders
de l’industrie, le Laboratoire de Lithographie du Leti développe
des méthodes de patterning axées sur la production et qui répondent
aux besoins des générations futures de semi-conducteurs.
34
Rapport d’activité 2009 /
Technologies /
35
L
a lithographie, processus utilisé pour définir les motifs
de circuits sub-microscopiques dans les puces, se trouve
à un carrefour décisif : les procédés basés sur la technologie
optique ne seront sans doute plus efficaces au-delà de la
génération des technologies inférieures à 22 nm, et l’alternative la plus souvent citée, l’extrême UV, malgré 15 ans
de développement, n’est toujours pas prête. Aujourd’hui,
l’incertitude est grande sur la capacité de l’industrie du
semi-conducteur à poursuivre ses progrès historiques vers
des éléments toujours plus petits.
Les points forts
2009
“
Nous soutenons le développement technologique
à court et long terme de
nos partenaires industriels
et participons au maintien
d’une position dominante
européenne en matière
de technologie CMOS
avancée.
”
Dr. Serge Tedesco,
Chef de Programme
Lithographie
36
Rapport d’activité 2009 /
> La possibilité de mesurer
rapidement les éléments inférieurs
à 22 nm avec une précision extrême
reste un défi majeur ; les chercheurs
du Leti développent une microscopie
à force atomique dans ce but.
En 2009, cette situation a été traitée dans plusieurs domaines par le Laboratoire de Lithographie du Leti. Le Programme Imagine, en partenariat avec la société MAPPER, a
commencé à développer des approches lithographiques sans
masques basées sur des multi-faisceaux d’électron. Ce programme industriel s’appuie sur une forte expérience et les
nombreux programmes du Leti en lithographie sans masque
ML2. Dans le même temps, la recherche de techniques
de double patterning optique continuait et était appliquée à
un procédé « spacer » pour une production de puces logiques avancées.
>
Lithographie
Partenaires industriels
Ces efforts rassemblent plusieurs sociétés du semi-conducteur d’Europe, d’Asie et d’Amérique du nord. ST et TSMC participent au programme ML2 Imagine et à ses extensions.
Les travaux sur le double patterning concernent IBM, Nikon
et ST, ainsi que Toppan Photomasks et Dow Electronic Materials. Des travaux supplémentaires sur la métrologie de
dispositifs inférieurs aux 22 nm réunissent ST, IBM et Veeco
Instruments.
Le Leti est désormais au premier plan dans le domaine de la
lithographie par faisceaux d’électrons, avec l’un des ensembles d’équipements les plus avancés au monde, comprenant
deux systèmes faisceaux formés, deux systèmes à faisceau
Gaussien, et la version alpha de l’outil multifaisceaux de
Mapper Lithography, qui a le potentiel d’augmenter fortement la productivité. Le Laboratoire de Lithographie maintient également une pleine capacité de lithographie optique
à 193 nm, comprenant le traitement des données et la
caractérisation des procédés. ❉
> En 2009, le Leti a lancé
un programme national d’exploration
de la technologie d’auto-assemblage,
qui pourrait offrir une nouvelle
alternative de patterning.
Technologies /
37
Composants cœur
CONTACT : [email protected]
CONTACT : [email protected]
>
De nouvelles approches
qui promettent des avancées
dans la fabrication des puces
L
es principaux thèmes de développement technologique du
laboratoire sont :
• Les matériaux pour les transistors CMOS (Complementary
metal-oxide semiconductor) au nœud 22nm et en deça,
notamment les matériaux diélectriques à indice K élevé pour réduire le courant de fuite des transistors et les matériaux pour la
métallisation drain-source.
• les matériaux pour les mémoires non-volatiles telles que les
mémoire RAM résistives (Random Access Memories), les mémoires à changement de phase ou encore les mémoires oxydes
(OxRAM).
• les matériaux mis en forme, en particulier les nanofils de
silicium et les nanotubes de carbone.
• les dispositifs électroniques de puissance en nitrure de gallium sur silicium.
En partenariat avec les plus grands fabricants de puces dans le
monde tels Freescale, IBM, Intel, SOITEC et STMicroelectronics,
les chercheurs du Leti réalisent actuellement des progrès majeurs sur un ensemble de problématiques essentielles concernant les matériaux. Ils ont par exemple, intégré de nouveaux
empilements et la métallisation drain-source dans des cellules
à mémoire vive statique de 22nm.
>
Le Laboratoire Matériaux et Modules Avancés (AMM) du Leti conçoit actuellement
un large éventail de nouveaux matériaux extrêmement intéressants ainsi que
des procédés pour les intégrer dans des dispositifs électroniques de puissance
et de nouvelles générations de dispositifs à circuits intégrés.
Elaboration de nanofils à basse température
Les scientifiques ont réussi à produire des nanofils de silicium à
basse température, une avancée susceptible de permettre
l’ajout, par l’industrie des puces, de fonctions non numériques comme des capteurs et des dispositifs photovoltaïques sophistiqués - dans des procédés de fabrication CMOS standard. Ils ont
aussi intégré des nanotubes en carbone dans des micro-vias, et
ont, en particulier, mis au point l’encapsulation et la planarisation mécano-chimique pour les interconnexions de transistors
en 22nm. ❉
Cellule mémoire SRAM en technologie 22nm
>
Le Leti dans Nature Nanotechnology
>
Matériaux pour la microélectronique
Le Leti reste un leader scientifique
grâce à la recherche microélectronique
Le rôle du Laboratoire Dispositifs Innovants du Leti est d’évaluer, de développer
et d’intégrer des dispositifs microélectroniques numériques et analogiques
en collaboration avec les groupes matériaux, conception et simulation du Leti,
ainsi qu’avec des universitaires et des partenaires industriels.
L
’objectif principal du laboratoire est de fournir aux partenaires industriels du Leti des solutions numériques et
analogiques avancées et innovantes qui devancent de trois à
cinq ans l’industrie actuelle. Dans ce but, nous travaillons, au
sein du Leti et via des partenariats avec des universités, pour
être parmi les premiers à évaluer les nouveaux matériaux et
structures et leur potentiel d’intégration à des produits. Nos
travaux conjoints avec les groupes conception et simulation
du Leti permettent d’avoir un meilleur aperçu des solutions
proposées pour déterminer si elles sont susceptibles d’affecter tout le processus de développement des produits.
L’année dernière, nous nous sommes principalement
concentrés sur le développement de dispositifs et de modèles FDSOI (fully depleted silicon-on-insulator) pour le
nœud technologique de 22 nm. Ces travaux ont été réalisés
en partenariat avec STMicroelectronics, le Groupe Soitec et
l’IBM Joint Development Alliance (Alliance pour un développement commun) pour les semiconducteurs. D’autres travaux ont porté sur le développement de dispositifs innovants
pour les nœuds inférieurs à 16 nm, en particulier les dispositifs GeOI (germanium-on-insulator) et les dispositifs à
nanofils en silicium, ainsi que des approches d’intégration
3D monolithiques. ❉
Mémoire Sonos
Les points forts
2009
Les principaux succès du Leti en matière
de microélectronique en 2009 comprenaient :
> l’intégration 3D séquentielle CMOS
sur des tranches de 200 millimètres ;
> la mémoire flash 3D SONOS empilée
basée sur des nanofils ;
> les nanofils de 5 nm de diamètre sur SOI et SGOI
(silicon germanium-on-insulator) ;
> les transistors triple grille à effet de champ
de type p de 20 nm de longueur de grille
sur SGOI contraint.
Mémoire 3D complète
Objectifs : croissance de nanofils compatible CMOS
Les points forts
2009
> En 2009, le programme Électronique de Puissance
du Leti a participé au projet E3Car, avec un consortium
de sociétés européennes, l’objectif étant d’améliorer
les performances de la voiture électrique.
> L’an dernier, le journal Nature Nanotechnology
a publié un article du Leti décrivant une nouvelle
méthode d’utilisation de l’oxyde de cuivre pour fabriquer
des nanofils de silicium à basse température.
38
Rapport d’activité 2009 /
Résultats : La revue Nature Nanotechnology ((V. Renard et al. Nature Nanotechnology
advance online publication, 23 August 2009 (doi :10.1038/NNANO.2009.234)) publie
un article du Leti présentant une méthode de nucléation des nanofils assistée par
l’oxyde de cuivre. Cette technique utilisant un catalyseur compatible CMOS permet de réduire la température de croissance jusqu’à des températures compatibles avec la filière
CMOS. Cela constitue une première mondiale.
CU2O
Cette figure montre le principe de la nouvelle méthode qui est basée sur l’oxydation
préalable du catalyseur pour contourner le problème de la diffusion du cuivre dans le
catalyseur lors de la nucléation. Les nanofils ne sont pas obtenus avec une nucléation
classique (1) alors qu’ils le sont avec le nucléation assisté par oxyde de cuivre (2)
Perspectives : Cette réduction de la température de croissance des nanofils est
une clé pour la fonctionnalisation des interconnexions et les niveaux above IC
avec des dispositifs à base de nanofils (capteurs, nems, …).
Technologies /
39
Substrats innovants
CONTACT : [email protected]
>
L’amélioration des techniques
de collage direct ouvre la voie
à de nouveaux usages
Le Laboratoire de Transfert de Films et Circuits du Leti développe des substrats
innovants, des technologies de collage direct et d’amincissement pour le monde
des semi-conducteurs et des circuits intégrés.
En étroite collaboration avec Soitec, l’une des ex-start-up du Leti, et d’autres
partenaires industriels et académiques, une équipe de 50 chercheurs travaille
sur l’intégration de nouveaux matériaux et de nouvelles fonctions pour améliorer
les performances et élargir le spectre d’application des technologies silicium
sur isolant et ses dérivés.
>
es chercheurs du Leti collaborent avec Soitec sur la diminution des coûts et d’amélioration des performances
des systèmes photovoltaïques à concentration (CPV, Concentration Photovoltaic Technology) qui sont utilisés dans
certaines “fermes solaires”. Ces travaux portent sur le
développement de nouveaux substrats et de nouveaux procédés de fabrication.
Des coûts d’intégration 3D réduits
grâce au scellement direct cuivre-cuivre
Les chercheurs du Leti et Soitec développent également de
nouvelles méthodes d’intégration de circuits en 3 dimensions
(3D). Cette nouvelle technique d’assemblage de semiconducteurs plaque sur plaque peut permettre de réduire les
coûts, d’améliorer la cadence de production et également
d’améliorer les performances des circuits intégrés 3D. Cette
technique permet, entre autre, la fabrication de dispositifs
logiques, de mémoire ou d’imageurs mettant en œuvre des
processus de fabrication différents sur des tranches indépendantes avant d’être combinées.
40
Rapport d’activité 2009 /
Cette technologie puissante et pourtant simple à base
de collage direct cuivre-cuivre est actuellement en cours
de développement. Le Leti travaille également avec
STMicroelectronics sur un processus d’intégration 3D puce
sur plaque.
>
L
Les points forts
2009
> Fin 2009, le Leti et Soitec ont lancé une offre
commerciale conjointe sur leur technologie
d’intégration 3D plaque à plaque par collage direct
qu’ils ont développés conjointement. Les deux
sociétés offrent ainsi des solutions d’intégration 3D
allant du développement personnalisé à l’acquisition
de licences industrielles et au transfert de technologie
en passant par la possibilité de réaliser des
prototypes.
> Le Leti a également installé un outil d’implantation
ionique spécialement conçu pour permettre
des implantations à haute (600K) et basse (77K)
températures et sur une large gamme d’énergie.
Procedés de transfert de films
Les besoins applicatifs en transfert de silicium et autres matériaux monocristallins sur une large variété de substrats
support constitue également un domaine prospectif pour le
LETI. Les chercheurs du Leti développent actuellement des
procédés tels que le transfert de :
• silicium sur substrats souples (polymère) ou transparents
(verre) ;
• semi-conducteurs III-V pour des applications optiques ;
• couches piézo-électriques monocristallines pour les filtres
de radio fréquence de nouvelle génération et les applications
biocapteurs. ❉
Technologies /
41
Intégration 3D
CONTACT : [email protected]
Lignes de production
d’intégration 3D au Leti
200 mm More Moore
3D ultraplat, 3D monolithique
Ligne d’intégration 3D en 300 mm
>
Un développement incessant
des circuits intégrés grâce aux
interconnexions verticales
Les dispositifs à semi-conducteurs de plus en plus petits devenant
très complexes à réaliser, l’intégration tridimensionnelle (3D)
offre aux concepteurs de puces de nouvelles pistes pour accroître
les performances, réduire les coûts et ajouter de nouvelles
fonctions par l’empilement de couches multi-composants
les unes sur les autres.
L
e Leti travaille sur les technologies de la conception et
l’intégration 3D depuis plus de deux décennies. Actuellement, plus de 60 chercheurs étudient les différents procédés de réalisation des connexions électriques entre des
couches de circuits intégrés et entre les puces empilées verticalement.
Nous avons commencé dès la fin des années 1980 avec les
connexions par VIA (Vertical Interconnect Access) percées au
laser, puis avons poursuivi avec la technologie TSV (Throughhole Silicon Via) que nous continuons à développer comme
une solution de densité intermédiaire. Le Leti a récemment
transféré à l’un de ses partenaires industriels, une technologie de packaging TSV de capteur d’images actuellement en
cours de production. Le développement de cette technologie
permet d’intégrer les TSV dans des interposeurs en silicium
grâce auxquels le but ultime d’une carte mère tout silicium
sera atteint.
De plus, les chercheurs du Leti sur la 3D développent actuellement des technologies d’interconnexions verticales encore
plus denses. Ils ont, par exemple, intégré les TSV haute densité à pas fin dans un procédé technologique de 65 nanomètres, avec de premiers résultats très encourageants.
Les applications possibles de l’intégration 3D varient dans la
gamme des composants électroniques, depuis l’empilement
3D “réseaux sur puce” et “mémoire sur logique” jusqu’aux
technologies de la carte de silicium, susceptibles de remplacer le packaging des cartes à circuits imprimés.
Le Laboratoire Packaging et Intégration du Leti encadre la
majeure partie du développement de l’intégration 3D du
LETI, en particulier dans le domaine des TSV, des couches
de redistribution, de la manipulation des puces sur substrats
amincis et du collage temporaire. D’autres laboratoires interviennent dans des domaines spécifiques, en particulier
les procédés et les techniques de collage direct. Une ligne
d’intégration 3D en 200 millimètres est déjà achevée, et une
autre ligne 3D en 300 mm le sera en 2010. ❉
MEMS 200, imageurs,
interposeur actif sur silicon,
via Belt (300 mm)
Interposeur actif sur silicium
Les points forts
2009
> En 2009, le Leti et le groupe Soitec
ont annoncé des plans pour soutenir
l’intégration 3D tranche sur tranche
dans le cadre du développement
des prototypes de clients. L’iinitiative conjointe
associera les forces de chaque entité, le Leti
apportant son expertise dans les TSV,
le reroutage et la caractérisation électrique
et Soitec son expertise dans le collage direct
et l’amincissement.
Empilement de puce en 3D pour des applications multimedia :
description des résultats technologiques
et électriques - S. Cheramy, EMPC 2009
F2F Stack - 50µm pitch - Cu Pillars: 25µm x 30µm
> Le Leti a également annoncé un partenariat
commun avec R3Logic sur le développement
des flux de conception 3D au nouveau centre
de recherche R3Logic à Grenoble, France.
Piliers en cuivre face avant
Plaque de silicium (120µm d’épaisseur)
Piliers en cuivre face arrière
0.13µm technology
Intégration d’une poignée temporaire pour réaliser
un procédé de via traversant - J. Charbonnier, ECTC 2009
42
Rapport d’activité 2009 /
Technologies /
43
Packaging et fiabilité
CONTACT : [email protected]
Les points forts
2009
>
Développer des appareils
innovants et robustes
E
n liaison avec le Laboratoire de Caractérisation et Fiabilité des Microsystèmes, les chercheurs du Leti s’efforcent d’améliorer les performances, d’augmenter la durée de
vie et de réduire le coût et la taille des microsystèmes électroniques ou optiques de prochaine génération.
On développe dans ces laboratoires des produits d’avantgarde en termes de packaging, de design et de tests pour
une large gamme de composants (LED, MEMS, imageurs)
dans des environnements différents. Ces équipes apportent
également un savoir-faire déterminant pour les partenaires
industriels dans les domaines comme l’interconnexion à très
haute densité, le packaging wafer level en couche mince, du
contrôle environnemental ou de l’herméticité du packaging
de micro-dispositifs.
44
Rapport d’activité 2009 /
>
Les équipes de packaging du Leti conçoivent des solutions
innovantes et fiables pour des domaines variés comme l’imagerie,
l’éclairage, les microsystèmes électromécaniques (MEMS),
la photonique ou la puissance.
Des projets collaboratifs
Le Leti participe avec l’industrie à de nombreux projets de
développement collaboratif bilatéraux ou institutionnels.
Il s’efforce aussi de concevoir de nouveaux concepts innovants pour l’intégration 3D basés par exemple, sur des
microtubes à très haute densité d’interconnexion, d’un pas
de l’ordre de 10 microns, insérés dans un matériau ductile
ou avec des micro-inserts en nickel.
D’autres applications bénéficient d’améliorations majeures
comme dans le domaine du packaging des MEMS avec des
techniques de packaging par film mince compatible avec le
surmoulage, des procédés de packaging sous vide et un
banc d’essai analyseur de gaz résiduel (RGA, Residual
Gas Analyser) pour parvenir à une analyse des gaz résiduels
à l’intérieur des cavités encapsulées. ❉
> En 2009, le Leti a entamé une
collaboration avec Philips Lighting, Accor,
Ingelux Consultants et l’Agence Française
de l’Environnement et de la Maîtrise de
l’Énergie (ADEME) pour la mise au point
d’éclairages à LED efficaces et performants.
Le but est de remplacer les lampes
halogènes de 20 et 35 watts par des LED
équivalentes mais plus performantes.
La mission du Leti est d’optimiser le concept
du point de vue de la dissipation thermique
et de caractériser leurs températures de
jonction dans des environnements confinés.
> Le Leti a également lancé le projet Micro
et Nanotechnologie pour le Packaging
(Minapack) en partenariat avec Egide,
NovaPack et d’autres partenaires, en vue
du développement de nouveaux packages
à cavité d’air utilisés dans les LED,
les lasers et systèmes électroniques
haute température. L’objet du projet est
la conception et le test de nouveaux
matériaux de packaging tels les polymères
à cristaux liquides et les composites à base
d’aluminium, de cuivre et de diamant offrant
des performances et une fiabilité améliorées.
Technologies /
45
Microfluidique
CONTACT : [email protected]
Le marché des dispositifs
microfluidiques utilisés dans
diverses applications, depuis
les imprimantes à jet d’encre
jusqu’aux systèmes de laboratoire sur puce, dépassera sans
aucun doute les 3 milliards de
dollars d’ici l’an 2014. Le Leti
travaille avec plusieurs sociétés
pour les aider à s’approprier
une partie de ce marché
émergeant.
46
Rapport d’activité 2009 /
Les points forts
2009
L
a microfluidique est une technologie clé qui permet le
contrôle de très faibles volumes de liquides dans des
microsystèmes dédiés à l’analyse biologique ou chimique.
Les domaines d’application concernent les contrôles environnementaux ou industriels sur site, le diagnostic médical
ou les sciences de la vie.
Le Leti travaille avec ses partenaires en vue du développement de nouveaux dispositifs microfluidiques, basés sur les
micro et les nano technologies.
>
>
Des microdispositifs
dédiés à
l’analyse
d’échantillons
liquides
Un complément aux programmes
de laboratoire sur puce
Comme de nombreux projets du Leti, ce travail complète
d’autres programmes de R&D. Le Leti développe différents
concepts de laboratoires sur puce dédiés, entre autres, à
l’analyse sur site de substances chimiques ou d’espèces
biologiques pathogènes dans l’air ou dans l’eau.
La gestion des fluides est un problème critique pour la
maîtrise d’un protocole d’analyse complet au sein d’un microsystème intégré. La boîte à outils microfluidique conçue
au Leti est basée sur trois approches complémentaires, à
savoir :
• la microfluidique monophasique dans des réseaux de microcanaux couplée avec un actionnement utilisant des forces
hydrodynamique (pompes, valves…) ;
• la microfluidique diphasique dans des microcanaux ;
• la microfluidique digitale par actuation de microgouttelettes par électromouillage (EWOD : ElectroWetting On
Dielectric: électromouillage sur diélectrique).
Le leti a établi des partenariats avec ELTA, bioMérieux,
Cofely, Suez Environnement, CIAT et Bertin Technologies. ❉
> En 2009, le Leti a continué le développement de
sa plateforme microfluidique utilisant la technologie
EWOD. Cette technologie générique est utilisée
pour la réalisation de divers protocoles d’analyses
biologiques, comme la PCR quantitative (Polymerase
Chain Reaction) pour l’analyse d’échantillons
sanguins ou la réalisations de tests de type
immunoessais.
> En partenariat avec le CHU de Grenoble, nous
avons également eu recours à un système microfluidique pour l’encapsulage des îlots de Langerhans,
qui sont en charge de la production d’hormones
dans le pancreas (la plus connue étant l’insuline).
> En 2009, nous avons développé une
nanocolonne de chromatographie avec une plume
électrospray capotée et directement intégrée en sortie
de colonne afin de permettre un couplage direct à
un spectromètre de masse de type Q-ToF (quadrupole
time-of-flight). L’application principale concerne
la protéomique, en particulier la séparation
des mélanges de peptides obtenus à partir
d’un digestat de marqueurs protéiques. L’objectif
est de se doter de nouveaux outils permettant
l’identification de nouveaux biomarqueurs pour
le dépistage précoce du cancer.
Technologies /
47
Plate-forme / processus chimiques
CONTACT : [email protected]
>
Des innovations dans le domaine
chimique donnent naissance
à de nouveaux produits
Le Leti développe une « boîte à outils » focalisée sur la création d’interfaces chimiques et fondée sur des processus stables et
caractérisés qui permettent le développement de produits
et d’applications innovants.
L
’approche du Leti permet l’introduction de groupements
chimiques fonctionnels sur des surfaces micro et nanostructurées, intégrables dans des systèmes et utilisant des
procédés sans solvants et peu énergivores. Généralement,
les procédés utilisés reposent sur des techniques de dépôt
en phase gaz ou assistés par micro-ondes.
Cette « boîte à outils » permet de développer des systèmes
nano-électromécaniques (NEMS) pour la santé, le bien-être,
la médecine, l’environnement ainsi que la détection et l’analyse de gaz. Cette approche pourrait mener à la création de
détecteurs de gaz performants dans le domaine de l’environ-
48
Rapport d’activité 2009 /
nement industriel, de l’habitat ou encore dans le développement d’outils analytiques.
Le Leti collabore également avec d’autres laboratoires du
CEA pour développer de nouveaux systèmes catalytiques
bio-inspirés, exempts de métaux nobles, afin de produire de
l’hydrogène à partir de l’eau. Le greffage de ces catalyseurs
sur des électrodes à forte surface spécifique est obtenu par
“click chemistry”.
Technologies /
49
Plate-forme / processus chimiques
Intégration Système
Lipidots TM
>
Dans le domaine de l’imagerie pré-clinique et clinique, le Leti
travaille sur le développement de nano-émulsions de lipides
dans l’eau : les lipidots™. Cette technologie générique permet l’encapsulation de molécules lipophiles et répond aux
spécifications des applications in vivo. Les ingrédients utilisés sont approuvés par la FDA pour son utilisation chez
l’homme, elle présente une forte stabilité colloïdale, une faible taille (35nm de diamètre), elle peut être fonctionnalisée
pour un ciblage actif de cellules cancéreuses.
Expertise internationale et installations
Les chercheurs du Leti disposent d’une expertise internationale en chimie organique et chimie sur support solide, particulièrement en ce qui concerne la modification de surface
micro et nano-structurées.
Le Leti abrite une installation de 250 m servant à la synthèse
2
organique et à la caractérisation, ainsi qu’une salle blanche de
70 m2 dans le bâtiment Nanobio du Leti pour la modification de
surface des micro et nano-systèmes.
Parmi les principales capacités d’équipement, on trouve :
• un équipement de silanisation de phase gazeuse provenant
de l’AMST
• un outil d’imagerie quantitative optique Sarfus de Nanolane
pour l’imagerie et mesure d’épaisseur de films et d’objets
nanométriques
• des mesures de potentiel de surfaces solides, rigides ou
souples, poreuses, micro et nanostructurées
• HPLC préparative et analytique
• spectrométries de masse, IR, UV et fluorescence
Des investissements sont planifiés concernant un réacteur
à micro-ondes, un équipement de fonctionnalisation en CO2
supercritique et un robot de dépôt de solutions chimiques et
biologiques. ❉
>
>
CONTACT : [email protected]
L’intégration est la clé de voûte
des systèmes médicaux novateurs
Les chercheurs du Département des Microtechnologies pour la Biologie et la
Santé (DTBS) du Leti appliquent actuellement leur savoir-faire combiné dans
les domaines de l’électronique, des logiciels et du traitement des données afin
de concevoir des dispositifs médicaux intégrés offrant à l’industrie de la santé
de nouvelles possibilités dans le domaine des diagnostics et des mesures.
E
n appliquant les nouvelles technologies des batteries,
des mémoires, des radiofréquences, des systèmes
micro-électro-mécaniques (MEMS) et de la microélectronique aux besoins médicaux (maladies chroniques, gériatrie
et médecine du sport), le Leti participe au développement
d’un large éventail de dispositifs portables, implantables et
de soins à domicile. Le Leti travaille également sur le traitement en temps réel des signaux neuronaux, implantables
sur une plateforme électronique basse consommation, ainsi
que le traitement de signaux statistiques pour l’analyse des
mouvements et la spectroscopie de masse protéomique.
Les points forts
2009
Dans le programme CIU Santé, par exemple, le Leti et ses
partenaires évaluent des dispositifs portables équipés de capteurs MEMS pour la surveillance à leur domicile des niveaux
de mobilité et d’activité de personnes fragiles ou âgées.
Le Leti utilise également la technologie de la surveillance des
activités et de la reconnaissance des gestes pour mettre au
point un outil de détection automatique des crises d’épilepsie,
en partenariat avec l’Association Épilepsie Progression Intégration, la Fondation Caisse d’Epargne Solidarité, le Centre
Hospitalier de Grenoble et Movea, start-up issue d’un essaimage du Leti. Si les tests se déroulent bien en 2010 et 2011,
un projet de transfert industriel pourra être engagé. ❉
“
Nous concevons actuellement de
> En 2009, le Leti a transféré
sa technologie Lipidots TM à Fluoptics,
start-up du CEA, qui développe
une imagerie de fluorescence
en temps réel en vue d’une utilisation
dans la chirurgie cancéreuse. Un accord
a également été signé dans le domaine
des cosmétiques.
> L’année dernière, le Leti a travaillé en
étroite collaboration avec des industriels
sur de nouvelles méthodes pour
l’amélioration du contrôle de procédés
industriels.
Les points forts
2009
nouveaux dispositifs basés sur l’électronique,
les logiciels et le traitement des données afin
d’établir une passerelle entre la technologie
et les possibilités qu’elle ouvre, et la médecine
> En 2009, Le Leti a terminé le transfert à son partenaire BioLogic SAS, du produit BioMEA, un système
d’enregistrement de signaux, et de stimulation de tissus
neuronaux placés sur une matrice de micro électrodes
à 256 électrodes.
”
et ses besoins.
Régis Guillemaud, chef d’équipe,
laboratoire des systèmes électroniques
pour les soins de santé du Leti
> Le LETI a travaillé avec l’Institut des Neurosciences
(GIN) à Grenoble afin d’élaborer de nouveaux
protocoles de tests sur des animaux pour la gestion
de l’épilepsie avec une stimulation électrique en boucle
fermée. L’objectif à terme est d’aller vers des systèmes
implantables chez l’homme avec une stimulation
à boucle de contrôle.
> Les chercheurs du Leti ont travaillé l’an dernier sur
l’utilisation d’un environnement informatique novateur
de Laboratoire sur Puce pour identifier et quantifier un
bio marqueur spécifique de protéines pour les cancers
du poumon et du cerveau.
50
Rapport d’activité 2009 /
Technologies /
51
Plateforme conception de circuits intégrés
“
CONTACT : [email protected]
Le Leti a l’avantage de disposer
en un même lieu d’une équipe
de concepteurs de haut niveau
et des capacités de fabrication
>
Conception et validation
des circuits intégrés
sur une large gamme de technologies avancées : technologie
MOS nanométrique, nanofils de
silicium, nanotubes de carbone,
composants NEMS et MEMS,
N
os projets récents comprennent des circuits d’imagerie
par infrarouges refroidis et non-refroidis pour des applications dans le domaine de la défense et de l’automobile,
des systèmes radios à très basse consommation, des
liaisons radio HDMI sans fils, des techniques permettant de
réduire la consommation dynamique et statique dans les
Systems-On-Chip (SOC, ensemble de systèmes intégrés sur
une même puce) complexes, le contrôle de la variabilité liée
aux technologies semi-conducteur submicroniques très
avancées et le développement des architectures networkon-chip pour les futurs systèmes numériques à multiprocesseurs.
>
La plateforme de conception
de circuits intégrés du Leti a
pour mission principale la
conception de circuits
numériques, analogiques et
radiofréquence (RF) mais elle
étudie également les architectures système associées.
Ces travaux ont pour but de
valider les technologies développées par le Leti et de favoriser
l’émergence de ces technologies
de pointe dans des domaines
applicatifs tels que la santé,
les télécommunications et
les objets communicants.
et techniques d’intégration 3D.
L’accès à une telle variété de
technologies innovantes permet
à nos concepteurs d’évaluer en
avance de phase les bénéfices
de ces technologies émergentes
au niveau circuits et systèmes.
”
Jean-René Lequepeys,
responsable du département
Architecture Conception et Logiciels
Embarqués
Des compétences en conception et en test
La plateforme de conception est composée de six laboratoires, axés respectivement sur les systèmes radiofréquences, les microsystèmes, les circuits numériques, la
conception pour l’image, l’environnement de conception, et la
modélisation et caractérisation. Ces laboratoires réunis emploient environ 130 personnes, dont 80 permanents. Les
chercheurs travaillent à la fois pour des clients internes
et externes, notamment Nokia, STMicroelectronics, NEC,
Atmel, Sofradir, Ulis, MicroOLED, Sagem, Trixell et Siemens
Medical Systems. La plate-forme de conception dispose de
tous les équipements nécessaires au test des circuits intégrés, notamment plusieurs probers RF en 300mm, et un
nouveau testeur industriel Verigy dédié au SOC et aux
System-in-Package (SiP, ensemble de systèmes contenu
dans un boitier unique). ❉
Les points forts
2009
> En 2009, le Leti et Nokia ont présenté un circuit intégré combinant
à la fois une liaison de type identification par radiofréquence (RFID) et
une autre de type ultra large bande (UWB, Ultra Wide Band). Ce circuit
permet d’utiliser un téléphone mobile pour télé-alimenter à distance
via la liaison RFID une mémoire passive à haute capacité (1 Gbit)
et ensuite de transférer les données à haut débit (112 Mbits/sec.)
via la liaison UWB.
> Parmi les autres projets majeurs de l’année écoulée on peut citer
un émetteur/récepteur HDMI sans fil à 60 GHz en technologie CMOS
développé pour STMicroelectronics, la conception d’un imageur
miniaturisé pour la start-up MicroOLED et le développement de
la plate-forme numérique Magali visant la mise en œuvre rapide
des prochaines normes télécom 4G/4G5.
52
Rapport d’activité 2009 /
Technologies /
53
Laboratoire d’Ingénierie Nano photonique (LINA)
CONTACT : [email protected]
Les points forts
2009
>
La Plasmonique :
une nouvelle ère pour les
communications par puces ?
“
> Le LINA travaille sur des applications de plasmonique pour des détecteurs à infrarouge de pointe,
de type MCT (Mercure Cadmium Tellurure), utilisés pour
l’imagerie militaire, la métrologie scientifique et l’imagerie spatiale. L’équipe du LINA conçoit de nouvelles
configurations de dispositifs et adapte la technologie
des MCT à des structures plasmoniques spécifiques.
En 2009, notre travail
consistait principalement
à orienter le transfert de
la plasmonique et d’autres
concepts de pointe d’un
cadre académique général
Les fabricants de puces peuvent créer de manière économique
des centaines de millions de transistors sur un seul morceau de silicium.
Mais ces transistors produisent des quantités colossales de données et
leur acheminement rapide par des fils microscopiques constitue un enjeu
de plus en plus difficile, défi que doit relever la technologie émergente
de la plasmonique, actuellement en cours de développement au LINA
(Leti’s Nanophotonics Engineering Lab).
>
Actuellement, le rapprochement de la connectivité optique
sur les puces, les capteurs et autres microsystèmes avec la
plasmonique – une méthode de transmission de signaux
optiques par nano-guides qui peuvent être fabriqués à la
surface d’une puce – constitue les nouveaux espoirs.
Concrétiser de nouvelles technologies
Outre son travail de conception de composants photoniques
pour les projets au sein de la division SIONA (Nanophotonics
Engineering Division du Leti) l’équipe du LINA, composée
d’environ 20 chercheurs, travaille à plus grande échelle à la
mise en œuvre de nouveaux domaines technologiques pour
des applications dans les environnements industriels. Cette
démarche s’effectue par le développement de dispositifs de
démonstration de la faisabilité à partir de logiciels de
54
Rapport d’activité 2009 /
optoélectroniques, comme
la photonique intégrée,
les systèmes d’imagerie
et le photovoltaïque.
”
Patrick Chaton,
Directeur de la Division
Nanophotonique (SIONA).
conception commerciaux et conçus en interne ainsi que de
bancs d’essai optiques qui autorisent la métrologie de
matériaux à l’échelle nanométrique.
Le LINA travaille en partenariat avec un nombre important
d’institutions académiques ainsi que d’industriels, comme
les sociétés spécialisées dans l’imagerie infrarouge ou
visible (ULIS, STMicroelectronics et Schneider Electric).
>
L
es réseaux optiques ont révolutionné les communications de données. Ces réseaux traversent les océans et
les continents, en traitant des flux énormes de données.
Néanmoins, la technologie optique est difficile à mettre en
œuvre à l’échelle microscopique, en partie parce que les
conducteurs ont tendance à devenir plus volumineux que les
interconnexions traditionnelles métal sur puces qui acheminent électroniquement les informations.
vers des applications
Garantir la faisabilité
Les efforts déployés exercent un effet de levier sur la plateforme de fabrication microélectronique du Leti et la plateforme MCT spécifique au DOPT, ce qui aide à garantir la
faisabilité finale des nouvelles structures. Un cluster de calcul parallèle partagé par d’autres groupes du Leti et du Liten
constitue également un outil essentiel pour la production
rapide de modèles hautement optimisés.
En 2009, les équipes du LINA ont travaillé avec leurs homologues de Caltech sur un modulateur de moniteur optique
plasmonique intégré pour assurer la communication de
données sur ou entre puces ; le dispositif de traitement des
signaux a des atouts sans précédent : une taille compacte
et une faible consommation d’énergie. ❉
Technologies /
55
La technologie FDSOI
CONTACT : [email protected]
>
Une approche prometteuse
pour les puces de la génération
suivante
L
e marché des téléphones portables et autres appareils
mobiles étant en pleine expansion, leurs utilisateurs
recherchent des produits dont les performances s’accentuent avec le temps et dont l’autonomie s’accroît avec la
charge d’une seule batterie. Cette tendance oblige l’industrie
des semi-conducteurs à trouver de nouvelles technologies
permettant de réduire la consommation d’énergie des
appareils électroniques.
L’une des approches les plus prometteuses des semiconducteurs basse puissance est la technologie SOI, dans
laquelle les transistors sont séparés du substrat de silicium
de la puce (support mécanique) par une couche isolante
d’oxyde de silicium qui réduit les courants de fuite et permet
la fabrication de circuits de plus en plus compacts, denses et
rapides. Le Leti mène le développement de cette technologie
SOI en utilisant une couche active ultra-mince (appelée SOI
totalement déplété), ce qui confère un certain nombre de
propriétés intéressantes pour les générations suivantes
des semi-conducteurs.
56
Rapport d’activité 2009 /
>
Les chercheurs du Laboratoire des Dispositifs Innovants (LDI)
du Leti se penchent sur le développement de la technologie
du silicium sur isolant totalement déplété (FDSOI, Fully Depleted
Silicon-On-Insulator), qui permet la fabrication de circuits intégrés
de plus en plus miniaturisés, denses et rapides.
Vers des puces plus rapides et plus vertes
Les dispositifs FDSOI nécessitent généralement une couche
de silicium actif plus fine que les dispositifs semi-conducteurs complémentaires metal-oxyde standard (CMOS), ce qui
réduit de manière significative la variabilité des résultats et
conduit à un meilleur compromis vitesse/puissance. Ces
avantages – parmi tant d’autres – font des transistors FDSOI
des très bons candidats jusqu’aux nœuds technologiques
11nm, via une adaptation, pour chaque nœud, desépaisseurs
des couches de silicium et d’oxyde enterré.
L’an dernier, le Leti s’est focalisé sur le développement
des dispositifs et des modèles FDSOI pour le nœud 20nm,
en s’associant localement avec STMicroelectronics et le
groupe Soitec, et en travaillant en étroite collaboration avec
l’Alliance de Développement Conjoint des Semiconducteurs
d’IBM, Albany, N.Y., à laquelle sont actuellement affectés
cinq chercheurs du Leti. ❉
Les points forts
2009
> En 2009, les chercheurs du Leti
ont obtenu leurs premiers résultats
dynamiques sur la technologie FDSOI.
> Ils ont également démontré
les premiers résultats électriques
des dispositifs FDSOI fabriqués à partir
de substrats SOI à couche d’oxyde
ultrafine enterrée.
Technologies /
57
Traitement des signaux
Les points forts
2009
CONTACT : [email protected]
> Les chercheurs du Leti engagés sur le projet Helios
financé par la Commission Européenne, se basent
sur la technologie du traitement des signaux pour
développer des dispositifs photoniques sur lesquels
peuvent s’intégrer des circuits à semi-conducteur métal
oxyde complémentaire pour de futures applications
de communication.
>
Un maillon essentiel
de l’électronique moderne
La technologie du traitement des signaux joue un rôle important
dans les activités de recherche et de développement du CEA-Leti
depuis sa création, lorsque la majeure partie de ses efforts
était consacrée à relever les défis de l’industrie nucléaire.
e manière générale, le traitement des signaux implique
l’utilisation de capteurs destinés à collecter des signaux,
analogiques ou numériques, qui peuvent ensuite être manipulés et/ou analysés pour la réalisation d’un éventail de
tâches. Cette technologie extrêmement utile est présente à
tous les niveaux de la chaîne de traitement, depuis les capteurs, les caméras et téléphones portables jusqu’aux systèmes radars, à l’exploration sismique et au traitement des
signaux vocaux et vidéos.
Louis Néel, prix Nobel de physique, qui a joué un rôle majeur
dans le développement de l’infrastructure des recherches
scientifiques à Grenoble, décrit le traitement des signaux
dans un article en 1984 comme “un point de rencontre entre
la recherche fondamentale et la recherche appliquée”. Néel
prévoyait déjà le potentiel énorme lié à l’utilisation de la technologie de traitement des signaux pour un large éventail
d’applications.
58
Rapport d’activité 2009 /
Aujourd’hui le traitement du signal est présent dans de
nombreux programmes du Leti associant les chercheurs en
mathématiques, physique, ingénierie, électronique, jusqu’à
la biologie…
>
D
> Les techniques de localisation par bandes
ultra-larges (UWB) en temps réel utilisées pour
les réseaux mobiles impliquent un traitement
extrêmement rapide des signaux de radiocommunication au niveau de la couche de la bande de base et
des algorithmes d’estimation complexes pour le calcul
de position (tracking) sur la couche de post-traitement.
Détecteurs de rayons gamma
Le traitement des signaux a contribué de manière significative au développement par le Leti de détecteurs de rayons
gamma destinés à l’imagerie moléculaire PET (ou Tomographie par Émissions de Positrons), une technologie extrêmement utile dans le diagnostic anticipé et non invasif du
cancer.
Actuellement, la technologie du traitement des signaux couvre de nombreux programmes du Leti, associant ainsi les
chercheurs en mathématique, physique, ingénierie, traitement de données électroniques et même en biologie et dans
le domaine de la santé. ❉
Technologies /
59
Technologies photoniques
Les points forts
2009
CONTACT : [email protected]
> En 2009, Les chercheurs du Leti ont travaillé
en collaboration avec Sofradir sur les détecteurs
infrarouges, Ulis sur les micro bolomètres et
STMicroelectronics pour les capteurs d’images visibles.
>
Nouveaux capteurs d’image,
circuits intégrés photoniques
et LED de puissance :
le photon partout à l’honneur
Le département optronique du Leti est un acteur clé
dans le développement des détecteurs d’image et
l’utilisation d’outils et de concepts microélectroniques
dédiés à la fabrication de dispositifs photoniques
comme les diodes électroluminescentes (LED)
haute puissance pour les applications d’éclairage.
D
ans le domaine des capteurs d’images, les chercheurs
du Leti travaillent sur une large palette de matériaux
photosensibles leur permettant de couvrir non seulement le
domaine de la lumière visible mais aussi une vaste gamme
spectrale depuis les rayons X jusqu’au domaine terahertz,
voire des ondes millimétriques.
En partenariat avec SOFRADIR, leader sur le marché des détecteurs Infrarouge à base de HgCdTe (Tellure de mercure et
de cadmium), une technologie de capteur d’image sensible
dans le lointain Infrarouge, nouvellement mise au point a
permis de réaliser des imageurs au format télévision capables de détecter des variations de température de quelques
millièmes de degré sur un objet à température ambiante.
L’uniformité et la qualité de l’image obtenue ouvrent des
perspectives d’application intéressantes non seulement dans
les domaines militaire et spatial, mais également dans ceux
des sciences, du médical et du contrôle environnemental.
> Dans le domaine de l’éclairage à l’état solide,
nous sommes un membre actif du “Cluster Lumière,”
une association qui compte plus de 100 adhérents,
sociétés françaises de toutes tailles, organismes
universitaires, instituts de recherche…, dont le but est
de promouvoir l’éclairage LED et de fédérer les acteurs
du domaine autour d’initiatives d’intérêt commun.
Par ailleurs, la mise au point du premier capteur d’image infrarouge à avalanche constitue une première mondiale.
Grâce à un mécanisme d’amplification exempt de bruit, au
sein de la photodiode, des images de grande qualité ont été
réalisées avec des temps de pose 10 fois plus faible qu’avec
des imageurs conventionnels. Ces détecteurs ouvrent également de nouveaux champs d’application qui débordent largement des domaines sécurité et défense habituels.
Dans le domaine de l’imagerie, d’autres avancées peuvent
être soulignées :
• la mise en œuvre du concept de pixels à antennes où l’on
détecte le champ électromagnétique du photon a été étendue
et démontrée dans le domaine du Térahertz, pour des applications relatives à la sécurité (détection d’explosifs ou
d’armes cachées). Ce type de concept permet de travailler
avec des pixels plus petits que la limite de diffraction et par
suite d’insérer plusieurs pixels ayant des fonctions différentes dans la surface correspondant à la limite de diffraction (tâche d’Airy). C’est une façon de réaliser des imageurs
multi spectraux, très haute résolution ou de très petite taille.
L’extension du concept vers le domaine infrarouge est en
cours.
Enfin 2009 nous a offert des premières images exceptionnelles du fond cosmique prises dans le spectre submillimétrique (100µm environ). Elles ont été transmises par le
satellite Herschel de l’agence spatiale européenne qui embarque l’instrument PACS dont les caméras réalisées par le
CEA-IRFU sont équipées de détecteurs à antenne réalisés
au LETI.
• l’utilisation de concepts utilisant les plasmons de surface
(ondes électroniques collectives à très haute fréquence résultant de l’interaction lumière-métal) ouvre de nouvelles
perspectives vers des imageurs encore plus performants et
moins onéreux.
60
Rapport d’activité 2009 /
Outre l’imagerie, les composants photoniques au cœur de
la stratégie du département optronique visent à servir des
marchés futurs de gros volume, grâce à l’utilisation des
technologies de la micro électronique.
• Les liens optiques CMOS. La plupart des émetteurs/récepteurs utilisés pour la transmission des données par fibres
optiques nécessitent des procédés de fabrication distincts
pour leurs composants optiques et électroniques. Le Leti
réalise actuellement des émetteurs/récepteurs intégrés –
lasers, capteurs photographiques, couplage guide d’ondes
et fibres optiques en particulier – entièrement réalisables à
partir des procédés CMOS (semi-conducteurs métal-oxyde
complémentaires) standard de l’industrie. L’industrialisation
de ces technologies devrait conduire à une très forte réduction des coûts et de la consommation énergétique des systèmes de transmissions de données. Il devrait aboutir à une
banalisation de la conception de fonctions utilisant l’optique
et à leur diffusion extensive.
• Dans le domaine des LED de puissance pour l’éclairage le
LETI a travaillé sur deux filières nouvelles :
- les LED à nanofils, qui produisent la lumière à partir de
tapis de fils semi-conducteurs de taille submicronique. Ces
émetteurs seront en principe nettement moins onéreuses
que les LED conventionnelles. Le Leti a récemment présenté
une première LED à nanofils émettant dans le vert, couleur
très difficile à obtenir dans la technologie industrielle
actuelle.
- les LED zinc-oxyde (ZnO) sont réalisées sur un matériau
qui constitue une alternative “écologique” et libre d’exploitation au GaN utilisé industriellement aujourd’hui. Depuis
plusieurs années les chercheurs buttent sur le dopage P du
ZnO, nécessaire à la réalisation d’une LED. De récentes
avancées dans ce domaine ont permis aux chercheurs
du Leti de présenter des LED ZnO émettant une lumière
bleu foncé. ❉
Technologies /
61
Composants
intégrés
62
Rapport d’activité 2009 /
Mémoire
> 64
Capteurs
> 66
Composants radiofréquence
> 68
Intégration des nanocomposants
> 70
Photonique CMOS
> 72
Dispositifs d’imagerie optique
> 74
Détecteurs de rayonnement X et Gamma
> 78
Éclairage à LED/OLED
> 80
Dispositifs médicaux implantables
> 82
Composants intégrés
> 84
Logiciel : une initiative grenobloise
> 85
Plateforme de conception 2012
> 86
Concevoir pour la 3D
> 88
Composants intégrés /
63
Mémoire
CONTACT : [email protected]
Les points forts
2009
>
Mémoires
à changement de phase
pour les systèmes embarqués
Le laboratoire des Technologies Mémoire Avancées (LTMA)
du Leti travaille actuellement sur des cellules, des matériaux
et des techniques de fabrication pouvant permettre de réduire
les coûts et la consommation énergétique de mémoires
embarquées ou discrètes.
> L’an dernier, la principale réalisation a été
l’identification d’un matériau à changement
de phase compatible avec les exigences
les plus strictes du marché en matière
de mémoires embarquées.
> Le Leti a également constitué un consortium
local de laboratoires de recherche afin de soutenir
ses travaux de recherche sur les matériaux
à changement de phase.
“
Les travaux menés
en 2009 ont apporté à
nos principaux partenaires
une connaissance plus
approfondie des nouvelles
L
es chercheurs étudient à la fois des mémoires de type
“charge-trap”, qui enregistrent les informations en piégeant des électrons dans des nitrures ou des nanocristaux
de silicium, et sur des technologies émergentes telles que
les mémoires “résistives”, qui stockent les informations en
modifiant la résistance des matériaux à changement de
phase ou à oxyde de commutation (switching oxide). L’activité
principale du laboratoire concerne les mémoires résistives à
changement de phase, qui offrent un vaste éventail d’applications commerciales, parmi lesquelles des dispositifs militaires et aérospatiaux résistants aux rayonnements ainsi que
des microcontrôleurs plus rapides et moins coûteux pour les
applications dans le domaine de l’automobile
Au cours de l’année 2009, les efforts du groupe étaient
principalement centrés sur l’adaptation des technologies de
la mémoire à changement de phase aux exigences des systèmes embarqués, comme la fiabilité à haute température
dans les automobiles. Les chercheurs se sont aussi intéressés au développement de mémoires de plus grande capacité
en associant des technologies innovantes de packaging,
l’architecture de type cross-bar et l’intégration tridimensionnelle.
64
Rapport d’activité 2009 /
>
architectures des cellules
Une réduction des coûts
et de la consommation d’énergie
Une autre partie de l’activité a également consisté à étudier
des architectures de cellules mémoires plus petites afin de
réduire la consommation électrique. Dans ce cadre nous
avons travaillé avec un partenaire industriel pour développer
un outil de dépôt chimique en phase vapeur pour les matériaux à changement de phase. Nous nous sommes également efforcés de réduire les coûts de fabrication des
mémoires embarquées.
mémoires, susceptibles de
leur donner un avantage
concurrentiel dans les mémoires non volatiles pour
systèmes embarqués.
”
Rétention de l’information d’une mémoire à changement de phase à base de GeTe et de GST :
l’utilisation du matériau alternatif GeTe permet une amélioration d’environ 30°C
en température de rétention par rapport au matériau traditionnel GST.
Barbara De Salvo,
Responsable du Laboratoire
des Technologies Mémoires
Avancées du Leti
Le programme de recherche et développement mené par le
Leti au sujet des mémoires s’appuie sur nos installations de
fabrication CMOS en 200 mm et 300 mm, sur la plateforme
de nanocaractérisation, et sur des systèmes et procédures
de caractérisation électrique spécialement conçus à cet
effet. Des modèles de simulation “maison” ont également
été mis en place de la physique d’une cellule mémoire au
circuit. ❉
Composants intégrés /
65
Capteurs
CONTACT : [email protected]
>
Des capteurs toujours
plus petits et moins chers
grâce aux MEMS
L
a technologie des MEMS (Micro-Systèmes ElectroMécaniques) a évolué au cours des deux dernières décennies,
depuis les balbutiements de la recherche expérimentale
jusqu’à un secteur industriel de plus en plus mature, avec
un volume de ventes annuelles qui dépasse les 6 milliards
de dollars et une kyrielle d’entreprises et de produits bien
établis.
Les capteurs constituent la plus grosse part du marché des
MEMS, l’éventail des produits allant des accéléromètres pour
automobiles, ordinateurs et téléphones portables jusqu’aux
capteurs de pression, de température ou capteurs acoustiques et optiques utilisés dans une large gamme d’applications grand public, industrielles et médicales.
Avec à son actif plus de 20 ans d’expérience et un historique
riche en propriété industrielle dans le domaine des capteurs
MEMS, le laboratoire Microsystèmes du Leti participe à de
nombreux projets de développement conjoints avec divers
partenaires universitaires, institutionnels et industriels,
notamment avec l’Agence Spatiale Européenne, Freescale
Semiconductor, le California Institute of Technology, STMicroelectronics, le Groupe Thales et Tronics Microsystems.
>
Le Laboratoire des Composants
Microsystèmes du Leti
est le leader mondial dans
le développement des techniques
de micro-usinage du silicium
pour la fabrication de capteurs
et d’actionneurs extrêmement
petits, qui trouvent des
applications sur le marché
des produits de grande
consommation, dans l’industrie
et le secteur médical.
Des procédés et des matériaux novateurs
Les chercheurs du Leti mettent actuellement au point des
capteurs MEMS de plus en plus miniaturisés et moins onéreux en exploitant les avancées réalisées dans le domaine
de la lithographie et de la gravure. Ils conçoivent également
de nouveaux procédés utilisant des couches piézoélectriques
et d’autres nouveaux matériaux prometteurs pour les capteurs et actionneurs.
Les principales compétences du laboratoire portent sur les
capteurs et les actionneurs mécaniques ainsi que les dispositifs optiques et magnétiques à MEMS. Ces compétences internes vont de la conception et de la modélisation des
composants à la caractérisation et l’analyse de fiabilité des
dispositifs fabriqués. ❉
66
Rapport d’activité 2009 /
Les points forts
2009
> En 2009, les chercheurs du Leti ont conçu et fabriqué
d’innovants transducteurs ultrasonores micro-usinés capacitifs
qui transforment l’énergie en ondes acoustiques haute fréquence,
pour les systèmes d’imagerie médicale.
> Le Leti a également développé des composants
nano-électromécaniques (NEMS) permettant un niveau d’intégration
et une sensibilité très supérieurs aux dispositifs actuels.
> L’an dernier également, le laboratoire a mis au point
un micro-actionneur piézoélectrique compensé en température
pour des applications de commutation.
> Le Leti possède un portefeuille de plus de 70 brevets
dans le domaine des capteurs MEMS.
Composants intégrés /
67
Composants radiofréquence
CONTACT : [email protected]
>
Les composants radiofréquences
offrent de meilleures performances
aux technologies sans fil
L
’un des axes d’innovation du Leti repose sur des solutions
basées sur les microtechnologies sur silicium pour réaliser des microcomposants RF, tels que des commutateurs,
des composants passifs accordables ainsi que des filtres et
des résonateurs acoustiques. Ces dispositifs offrent de nombreux avantages, notamment en termes de gain de place,
d’économie d’énergie et d’amélioration des performances.
Un savoir-faire au service
des partenaires industriels
De par son savoir-faire dans le domaine des technologies RF,
le Leti est très bien positionné pour aider les partenaires
industriels à choisir entre les nombreuses options possibles
de partitionnement des systèmes en particulier entre les
fonctions analogiques et numériques. Il assiste aussi ses
partenaires dans l’évaluation des dernières options d’intégration (3D et système sur wafer).
Parmi les récents partenariats, citons un travail avec STMicroelectronics sur un système de 60 gigahertz qui combine
un circuit CMOS RF et DBB (Digital BaseBand) à l’état de l’art
et une antenne intégrée sur silicium pour permettre la transmission de signaux haute définition non compressés bidirectionnels à basse puissance. Nous avons également lancé un
laboratoire conjoint avec la start-up suédoise Replisaurus
Technologies, Inc. afin d’évaluer et d’optimiser leur procédé
innovant de métallisation ECPR™ (ElectroChemical Pattern
Replication) destiné aux nouvelles solutions d’intégration 3D
et de réalisation de modules RF.
>
Le Leti est également actif sur les aspects architecture et
intégration système des technologies RF ; il a à ce titre une
activité importante dans le domaine des circuits analogiques
et des antennes. Certains de ces dispositifs RF sont ensuite
intégrés dans des capteurs sans fil autonomes, des systèmes de communication et diverses autres applications
développées par le Leti.
>
L’objectif des travaux de recherche du Leti sur les composants RF
(RadioFréquence) est d’amener l’innovation à tous les niveaux,
depuis les nouveaux matériaux destinés aux composants passifs
jusqu’aux solutions d’architecture proposées pour optimiser
globalement les modules RF.
Les points forts
2009
Les projets du Leti liés
aux technologies RF en 2009
concernaient :
> Des composants passifs
de nouvelle génération
utilisant les technologies 3D
> Un résonateur BAW compensé
en température pour réaliser
des références de temps
> Des commutateurs RF
et une référence de fréquence
basés sur les technologies
MEMS
> Des systèmes multi antennes
> Des systèmes de communication
ultra-basse consommation
avec des architectures de filtrage
RF innovantes
> La conception et l’intégration
de solutions de communication
sans fil à haut débit par ondes
millimétriques
Nano-oscillateur à transfert de spin
Une autre réalisation clé du Leti pour 2009 a été la démonstration expérimentale de la technologie STNO (nano-oscillateur à transfert de spin) pour les applications RF. Mesurant
moins de 100 nanomètres, le prototype présente une variation dans le temps des signaux (jitter) comparable à d’autres
composants existants. ❉
68
Rapport d’activité 2009 /
Composants intégrés /
69
Intégration des nanocomposants
CONTACT : [email protected]
>
La technologie
à l’échelle nanoscopique
promet des capteurs plus précis
L
e Leti a accéléré son programme NEMS en 2007 en s’associant avec le California Institute of Technology pour
former l’Alliance for Nanosystems VLSI (intégration à très
grande échelle). L’année dernière, l’alliance a attiré cinq partenaires industriels supplémentaires– notamment Areva,
Biomérieux, Leco et Total – comme sponsors supplémentaires pour le programme.
Les chercheurs de l’Alliance se concentrent initialement sur
le développement de capteurs à échelle nanoscopique qui
peuvent détecter des traces infimes de gaz et de liquide.
Des spectromètres de masse NEMS ultrasensibles sont
également en cours de développement ; ils peuvent mesurer
la masse d’une molécule unique.
70
Rapport d’activité 2009 /
>
Après 25 ans de d’innovations et de développement de dispositifs
pour systèmes microélectromécaniques à échelle microscopique
(MEMS) pour l’automobile, l’électronique et le domaine biomédical,
le Leti lance une nouvelle génération de systèmes microélectromécaniques à échelle nanoscopique encore plus petits (NEMS).
Avantages médicaux potentiels
Les capteurs NEMS pourraient aider à détecter des agents
chimiques et autres substances dangereuses, et même offrir
aux médecins de nouveaux outils de diagnostic non-invasifs.
Les chercheurs envisagent des futurs capteurs qui pourraient, par exemple, alerter les médecins dès les premiers
signes de cancer du poumon en détectant les marqueurs chimiques associés à la maladie dans le souffle du patient.
L’association unique de l’expertise du LETI en matière de
conception, de flux de processus, de manipulation des matériaux et de fabrication, avec ses installations de production et
d’essais de pointe ont fait de ce laboratoire un leader mondial dans le domaine de la production de NEMS à un niveau
VLSI. ❉
Les points forts
2009
> En 2009, le Leti a obtenu une subvention
prestigieuse du Conseil Européen pour la Recherche
afin de valider plusieurs approches de conception
des nanosystèmes multiphysiques VLSI, notamment
les composants chimiques, gazeux, mécaniques
(NEMS) et électriques.
> Nous avons également inauguré notre banc
d’essai de recherche de traces de gaz entièrement
automatisé, avec spectrométrie de masse
chromatographique des gaz, permettant
des analyses très précises à un niveau de l’ordre
de la partie par milliard.
Composants intégrés /
71
Photonique CMOS
CONTACTS : [email protected]
[email protected]
réseau de couplage
N Contact
Les points forts
2009
P Contact
> En 2009, le Leti a présenté avec succès
un micro-laser hybride III-V intégré sur un substrat
en silicium.
> Nous avons également présenté
un photo-détecteur intégré en germanium avec
une bande passante de 40 gigabits par seconde.
>
Les composants optiques intégrés
réduisent les coûts de fabrication
> En 2010, le Leti continue son offre de fonderie
de dispositifs photoniques en silicium pour des
partenaires académiques et industriels à travers
le monde, via la plateforme européenne
de photonique en silicium ePIXfab.
L
a technologie optique peut offrir de nouvelles capacités
aux composants électroniques, notamment une bande
passante élevée, des pertes de propagation réduites, un
multiplexage en longueur d’ondes et une immunité au bruit
électromagnétique. Mais ces avantages sont actuellement
limités par le coût élevé des composants photoniques, ainsi
que par les défis liés à leur assemblage.
Afin de réduire les coûts de production, ces dispositifs doivent être fabriqués avec des processus microélectroniques
standards. Ainsi, les chercheurs en optronique du Leti travaillent sur de nouvelles façons d’intégrer les composants
photoniques directement sur les dispositifs semi-conducteurs. L’objectif est de les fabriquer sur des tranches de
silicium de 200 millimètres à l’aide des processus compatibles avec les procédés standards de l’industrie de fabrication
des circuits CMOS.
72
Rapport d’activité 2009 /
>
Les chercheurs en optronique du Leti développent des composants
photoniques intégrés sur silicium (des dispositifs électroniques à base
de semi-conducteurs capables de produire, d’amplifier, de transmettre,
de moduler et de détecter la lumière) avec de nombreuses utilisations,
notamment les communications optiques et la détection.
Briques élémentaires photoniques
Jusqu’ici, les chercheurs ont démontré la possibilité de
produire des dispositifs avec des fonctions optiques de base
intégrées sur silicium, comme l’émission de laser III-V, la
modulation, le guidage, la détection, le couplage, les fonctions non-linéaires, et de les intégrer avec des circuits
CMOS. Les prochaines étapes consisteront à produire des
composants de transmission plus complexes qui sont également compatibles avec les technologies CMOS.
Le Leti collabore avec Mapper Lithography pour développer
des photodiodes intégrées rapides. Nous participons à
plusieurs initiatives de recherche subventionnées par la
Commission européenne, notamment le projet HELIOS pour
le développement de la photonique sur CMOS et le projet
WADIMOS pour combiner les micro-lasers semi-conducteurs avec les guides d’ondes en silicium. ❉
Composants intégrés /
73
Dispositifs d’imagerie optique
CONTACT : [email protected]
>
Des imageurs
toujours plus
performants
et adaptés
à l’application
Le développement
des technologies pour
les capteurs d’images allant
des rayons X aux longueurs
d’onde terahertz, nécessite
la maîtrise et l’association
de nombreux métiers tels que
de l’optique, des technologies
rétines, des boitiers et de
l’électronique de traitement
d’images.
74
Rapport d’activité 2009 /
Les points forts
2009
> En 2009, le Leti a réussi à réaliser le premier
champ de vision large (180°) cryogénique
dans une mini-caméra refroidie avec des dispositifs
optiques sténopéiques intégrés dans le système
cryogénique. Il s’agit de la plus petite caméra
infrarouge refroidie au monde.
> Pour les capteurs d’images CMOS dédiés aux
applications multimédia, le coût dépend de la taille
du dispositif. Des pixels plus petits favorisent
une réduction du coût des capteurs. Le défi consiste là
à maintenir les performances électro-optiques lors de
cette réduction d’échelle. En 2009, le Leti a également
examiné le problème sous différents angles :
• l’éclairage face arrière diminue l’effet d’ombre
et améliore la luminosité,
• l’intégration des micro lentilles lors du processus
de fabrication du capteur améliore la différenciation
entre les pixels,
• les filtres de type plasmonique intégrables
au process CMOS.
Composants intégrés /
75
Dispositifs d’imagerie optique
E
n 2009, le Leti s’est concentré sur l’amélioration de la
détection ainsi que sur les technologies capables de
réduire les coûts de production et d’optimiser le système
complet caméra. Il contribue ainsi à maintenir une avance
technologique à ses partenaires industriels, en menant les
programmes suivants :
• Le développement de rétines pour la détection de photons
allant des rayons X jusqu’aux radiations terahertz avec des
technologies CMOS, CdTe et microbolométriques.
• L’amélioration de la détection des photons par l’ajout de
technologies de type méta-matériaux aux process standards
de capteurs d’images.
• Le développement de technologies de micro-caméra, allant
des dispositifs singulés jusqu’à des technologies de caméras
tout wafer-level.
• L’ouverture à de nouvelles applications telles que la gestion
de l’énergie dans les bâtiments en utilisant les capteurs
d’images (de type machine vision) avec des dispositifs électroniques intégrés et de nouveaux concepts optiques.
76
Rapport d’activité 2009 /
>
CONTACT : [email protected]
Applications multiples
Ces technologies nous permettent de répondre aux besoins
de multiples applications : des caméras de téléphone portable à production de masse à des rétines pour télescopes spatiaux uniques. Les chercheurs soulignent aussi l’importance
de l’intégration à l’échelle d’une tranche qui est adaptée à
répondre aux imageurs de type “machine vision” nécessaires
pour les applications sensibles au coût.
Les connaissances du Leti sur l’intégration 3D jouent un rôle
important dans ses travaux sur les capteurs d’images, qui
nécessitent l’utilisation de processus bien optimisés tels que
les vias traversants TSV (Through-Silicon Vias), ainsi que des
modèles de l’impact électronique de ces vias. Ces travaux
nécessitent également de nouveaux filtres optiques et des
procédés d’amincissement du silicium pour contrôler l’effet
d’ombre, la diffusion de bordure et d’autres effets optiques
indésirables. ❉
Composants intégrés /
77
Détecteurs de rayonnement X et gamma
CONTACT : [email protected]
>
Ces technologies
profitent à la fois
aux médical et
à la sécurité
“
Nous travaillons
en partenariat avec des
industriels pour mettre
au point de nouvelles
solutions de détection
par rayons X destinées
aux futurs systèmes
L
’utilisation de rayonnements ionisants, en particulier
rayons X et gamma, est de plus en plus répandue dans
le domaine de l’imagerie médicale et du contrôle sécurité,
par exemple pour la détection d’engins explosifs et de matériaux illicites.
Dans le domaine de l’imagerie médicale rayons X, le Leti et
ses partenaires industriels conçoivent de nouveaux détecteurs grand champ qui présentent une meilleure résolution
spatiale et une sensibilité accrue (pour la réduction des
doses). Le Leti travaille également sur l’imagerie couleur par
rayons X, une technologie susceptible d’aider à la fois les
médecins et les personnes en charge du contrôle sécurité à
mieux différencier les tissus et les matériaux. Ces nouvelles
approches s’appuient sur des technologies innovantes de
comptage de photons et de spectrométrie.
Des détecteurs gamma plus perforants sont requis dans
les domaines de la médecine nucléaire, de la tomographie
d’émission mono-photonique (SPECT) et de la tomographie
par émission de positrons (PET), ainsi que dans le domaine
en forte croissance de l’imagerie pré-clinique du petit animal. Le Leti travaille à l’amélioration de la résolution spatiale
et de la sensibilité de ces systèmes d’imagerie.
78
Rapport d’activité 2009 /
>
Le Laboratoire Détecteur du Leti
(LDET) développe actuellement
des détecteurs de rayonnement X
et gamma, ainsi que le traitement
des signaux et des images associé,
pour les nouvelles générations
de systèmes d’imagerie destinés
à la médecine et à la sécurité.
d’imagerie médicale et
Des détecteurs fonctionnant
à température ambiante
aux futurs systèmes
Au cours de la dernière décennie, le laboratoire a concentré
son effort de recherche et développement sur les détecteurs
semi-conducteurs permettant une détection directe des photons dans un matériau semi-conducteur (CdTe/CdZnTe) couplé à un circuit intégré de lecture CMOS. Ces détecteurs
présentent un grand intérêt, car contrairement à d’autres
technologies de détection - qui nécessitent un refroidissement à l’azote liquide – ceux-ci peuvent produire des images
haute résolution spatiale et des informations spectrométriques à température ambiante. ❉
Les points forts
2009
de contrôle sécurité
dans les aéroports.
”
Jean-Louis Amans,
Responsable du Programme
Systèmes d’Imagerie du Leti
> En 2009, le laboratoire Détecteurs a poursuivi sa collaboration
stratégique dans le domaine des détecteurs rayons X pour la radiologie numérique avec Trixell (une joint venture entre Philips Medical
Systems, Siemens Healthcare et Thales Electron Devices).
> Le laboratoire a également poursuivi un projet d’envergure
destiné au développement de nouveaux détecteurs rayons X
avec Siemens Healthcare.
> Dans le domaine de la sécurité, le partenariat du Leti avec
Thales est centré sur les détecteurs spectrométriques rayons X,
ainsi que sur des solutions innovantes de détection, susceptibles
d’aboutir à un contrôle plus précis des bagages et à la réduction
du nombre de fausses alarmes.
Composants intégrés /
79
Éclairage à LED/OLED
CONTACTS : [email protected]
[email protected]
>
Une initiative
dans le domaine de l’éclairage
pour de meilleures performances
Le Leti participe à un large éventail de programmes novateurs
de recherche et développement dont l’objet est l’amélioration
des performances, de la qualité et de la fiabilité de la technologie
des diodes électroluminescentes (LED) et des diodes
électroluminescentes organiques (OLED).
a volonté de développement durable incitant les concepteurs à mettre au point des structures de plus en plus
optimisées en matière d’énergie, l’éclairage va sans doute
devenir le plus gros poste de consommation d’électricité
dans de nombreux bâtiments (plus de 25 % ou au-delà des
coûts totaux d’exploitation). D’où la nécessité de systèmes
d’éclairages plus performants.
Le LETI travaille dans le domaine émergeant de l’éclairage
à LED depuis 2006. Ses programmes de recherche et développement initiaux étaient limités à l’amont de la chaîne de
la valeur industrielle, principalement tournée vers de nouveaux matériaux semi-conducteurs, comme l’oxyde de zinc,
et les technologies en rupture comme les LED à nanofils.
Depuis, de nouveaux projets plus “aval” ont été lancés
sur des technologies clés relatives à la gestion thermique,
l’extraction de la lumière ou la conversion des longueurs
d’ondes par exemple.
Au niveau “système”, des concepts innovants sont explorés
pour répondre aux besoins de systèmes d’éclairage “intelligents”. Les objectifs sont le développement de détecteurs de
“présence” qui détectent la position ou l’activité des utilisateurs, ainsi que des systèmes d’éclairage de nouvelle génération pouvant communiquer avec le bâtiment ou d’un
luminaire à l’autre, afin d’améliorer les performances
énergétiques du système et le confort de l’utilisateur.
80
Rapport d’activité 2009 /
> En 2009, Le Leti a participé au
lancement de “PACTE-LED,” un projet
financé partiellement par l’Agence
Française pour l’Environnement et
la Maîtrise d’Énergie (ADEME). L’objet
de ce programme est le développement
d’ampoules à LED équivalentes par leurs
caractéristiques aux lampes halogènes
de 25 et 35 watts, garantissant ainsi
une qualité d’éclairage similaire à ces
dernières et une consommation d’énergie
nettement réduite (de 25%).
L’initiative du Leti dans l’éclairage donne à nos partenaires
industriels internationaux l’accès à des projets de recherche
et développement de pointe, à tous les niveaux de la chaîne
de valeur. Attentifs aux problématiques rencontrées par les
industriels de l’éclairage, nous développons des solutions en
exploitant au mieux les technologies et l’expertise disponible
au sein du CEA, tout en adaptant ces ressources aux
contraintes du marché.
> Le Leti a également participé
au lancement de CITADEL, une initiative
conduite par le Centre Scientifique et
Technique du Bâtiment (CSTB) français
en liaison avec d’autres laboratoires
et la filiale française de Philips Lighting.
Également financé en partie par l’ADEME,
le projet porte sur l’étude des performances et de la fiabilité des systèmes
d’éclairages à LED commerciaux.
Les possibilités et les domaines de compétence propres au
Leti sont les suivants :
• Salles blanches pour la fabrication de LED et OLED ;
• Moyens de caractérisation physique ;
• Moyens de caractérisation photoélectrique des LED, OLED
ou de petits luminaires ;
• Packaging de dispositifs optoélectroniques, y compris des
moyens de simulation numérique ;
• Moyens d’étude de la fiabilité et analyse des sources de
défaillance.
> Nous participons également à SMASH,
un projet de développement financé
par l’Union Européenne et coordonné
par Osram Opto Semiconductors GmbH.
Le projet a pour objectif la fabrication de
LED à nanofils, technologie qui permettrait
une réduction significative des coûts de
production des LED tout en garantissant
des performances élevées.
>
L
Les points forts
2009
Des programmes R&D exhaustifs
Le Leti travaille en étroite collaboration avec un ensemble
de sociétés et d’organismes de recherche. En 2008 par
exemple, nous sommes devenus membre du “Cluster
Lumière”, une association d’entreprises et d’organismes de
recherche français, dédiée à la promotion et au développement de LED et autres nouvelles technologies d’éclairage.
L’an dernier, nous avons participé au lancement de plusieurs
efforts de recherche conjoints complémentaires (voir les
points forts ci-après). ❉
Composants intégrés /
81
Dispositifs médicaux implantables
CONTACT : [email protected]
Les points forts
2009
> En 2009, nous avons développé un prototype
fonctionnel de micro-pompe implantable à base de
MEMS pour la délivrance de médicaments adaptée
au traitement de tumeurs cérébrales du type
glioblastome.
>
Les progrès biomédicaux
promettent de meilleurs résultats
pour les patients
Les chercheurs en technologie pour la santé du Leti développent
en collaboration avec des partenaires industriels, une large
gamme de dispositifs médicaux implantables et portables, ainsi
que les technologies supportant la recherche médicale avancée.
es dispositifs et technologies biomédicaux suivants sont
en cours de développement :
• Capteurs et dispositifs électroniques intégrés dans les
tissus pour mesurer l’hydratation, la température, la concentration en ions, etc. dans les fluides corporels tels que la
sueur.
• Dispositifs de capture du mouvement qui quantifie l’activité
des patients afin de surveiller leur dépense énergétique, faciliter leur réhabilitation fonctionnelle, aider au suivi des
maladies neurodégénératives et concourir à la détection
robuste de signes avant-coureur de crises d’épilepsie.
• Dispositifs de délivrance de principes actifs de haute
précision utilisant des pompes en silicium miniaturisées
comportant des capteurs intégrés.
• Outils de biopsies minimalement invasives pour l’analyse
protéomique, génomique, et cytologique et la caractérisation
des tumeurs (cerveau, poumon, sein, etc) et l’étude des tissus impliqués dans les pathologies neurodégénératives.
82
Annual Review 2010 /
> Nous avons également développé une
architecture de traitement temps réel de données
pour l’amélioration et la classification de signaux
électrophysiologiques ainsi que le tri des potentiels
d’action (spike sorting) à partir d’enregistrement
d’activités neuronales en conditions réelles.
• Instruments pour l’enregistrement électrophysiologique et
la simulation in vitro de tranches de tissus pour la recherche
en neurosciences, la toxicologie et la découverte de nouveaux
médicaments.
dispositifs d’enregistrement d’électro-corticographique
(EcoG) hautes performances pour l’enregistrement long
terme , avec un excellent rapport signal / bruit, de l’activité
cérébrale.
• Nouveaux dispositifs d’enregistrement et d’analyse de l’activité cérébrale, de neuromodulation avec comme objectifs,
le diagnostic et le traitement de maladies neurodégénératives ou la suppléance fonctionnelle par l’intermédiaire
d’interface cerveau ordinateur.
Nous avons mis en oeuvre avec la société Bio-Logic SAS une
architecture efficace de traitement des données en temps
réel pour accélérer l’analyse des signaux issus de matrices
de microélectrodes (MEA) utilisés en toxicologie, pharmacologie et en découverte de molécules thérapeutiques.
>
L
> Nous avons montré d’excellentes capacités de
capture de cellules et obtenu d’excellents résultats
pour notre outil de biopsie minimalement invasive
à base de silicium issu de la technologie ProTool®.
Progrès avec les partenaires
Le Leti a travaillé en étroite collaboration avec de nombreux
partenaires en 2009. Avec sa start-up Movea, le Leti a développé de nouvelles méthodes du traitement des signaux
(modèle de Markov) pour la détection de postures, l’analyse
de l’activité des patients et la détection de crises d’épilepsie.
Nous développons avec l’institut de recherche biomédicale
Clinatec des électrodes implantables à haute densité et des
Nous avons développé avec notre partenaire industriel
Becton, Dickinson and Co. (BD) une micro-pompe à haute
précision pour la délivrance localisée de médicaments dans
les tissus cérébraux. De même, nous avons fourni à notre
partenaire Sorin Group Cardiac Rhythm Management (CRM)
un ensemble de fonctions intégrées et de circuits intégrés
spécifiques (ASIC) pour répondre aux défis posés par les
nouvelles générations d’implants requérant des capacités de
communication sans fil et des possibilités de stimulation
multi-électrodes. ❉
Composants intégrés /
83
Software : une initiative grenobloise
CONTACT : [email protected]
CONTACT : [email protected]
>
Composants intégrés
U
n vaste marché existe pour les systèmes d’analyses autonomes et portables qui peuvent enchaîner de manière
automatique la collecte des échantillons d’air, d’eau, de sang
ou de tissu, la purification et la concentration des échantillons et l’analyse des bio-molécules spécifiques comme
l’ARN, l’ADN, des protéines ou des composés chimiques
comme des métaux lourds, avant de fournir très rapidement
des résultats.
Ces nouveaux dispositifs intégrés concernent :
• le diagnostic in-vitro pour l’analyse de fluides corporels
comme le sang, les crachats et la salive
• la pharmacologie et la chimie
• la surveillance environnementale et la sécurité.
Les microtechnologies et la microfluidique sont nécessaires
pour miniaturiser et intégrer toutes les étapes d’une analyse
biologique ou chimique. Mais cette intégration de différents
modules et fonctions de base dans un analyseur ergonomique
et utilisable pour une analyse délocalisée constitue un des
défis majeurs en vue de la commercialisation de ces systèmes. Ce défi a été au centre des préoccupations en 2009.
84
Rapport d’activité 2009 /
>
Le Leti et ses partenaires développent de nouveaux outils
pour les cliniciens, les biologistes et les chimistes à partir
des micro et nanotechnologies.
Détection des agents pathogènes en air intérieur
Le Leti et ses partenaires développent également un système intégré de contrôle de la qualité de l’air intérieur. L’objectif est de détecter et d’analyser des agents pathogènes
comme les virus, les bactéries ou les champignons dans des
hôpitaux et autres installations médicales, par exemple
lorsqu’ils peuvent causer des infections nosocomiales.
Ces travaux tirent parti de l’expertise étendue du Leti et de
ses équipes multi-disciplinaires qui comprennent des biologistes, des chimistes, des opticiens, des physiciens et des
experts des micro- et nano-technologies, de la microfluidique, de l’instrumentation et du traitement des données. ❉
La convergence des nanotechnologies et des logiciels embarqués
est le thème central du Centre de Recherche Intégrative (CRI),
une initiative financée par le gouvernement, l’université et
des industriels du secteur privé, récemment lancée à Grenoble.
L
e CRI a été lancé fin 2009 par trois organismes nationaux
de recherche : l’Institut National Français de Recherche
en Informatique et en Automatique (INRIA), le Commissariat
à l’Énergie Atomique en France (CEA) et le Centre National
de la Recherche Scientifique (CNRS) – ainsi que deux universités, l’Institut Polytechnique de Grenoble (INP) et l’Université Joseph Fourier (Grenoble-1). Il capitalise également sur
le savoir-faire en nanotechnologie et en systèmes sur puces
du pôle de compétitivité grenoblois Minalogic qui représente
l’industrie.
A l’origine, les concepteurs de composants microélectroniques et systèmes embarqués utilisaient des approches et
des cultures techniques très variées. Aujourd’hui, les deux
mondes commencent à converger en raison des exigences
grandissantes en matière de performances et de délais de
mise sur le marché. En associant des ingénieurs et des chercheurs de haut niveau spécialisés à la fois dans les nanotechnologies et dans les logiciels embarqués, le CRI adopte
une approche holistique “system-centric” qui devrait apporter à ses partenaires un avantage concurrentiel.
L’objectif du CRI est de rassembler un nombre critique de
chercheurs de haut niveau afin d’accélérer les temps de mise
sur le marché de produits innovants, en particulier de solutions intelligentes miniaturisées. Présidé par Dr. Joseph Sifakis, lauréat du Prix Turing 2007 en sciences informatiques, ce
centre devrait regrouper environ 300 chercheurs en cinq ans.
Le premier programme du CRI, soutenu par STMicroelectronics (ST), est destiné au développement des technologies de conception et de programmation pour une nouvelle
plate-forme de calcul ST multi-cœurs. D’autres projets
prennent forme autour de l’efficacité des multimédias et de
l’énergie. ❉
La convergence de la conception et de la technologie apportera une amélioration des performances
d’un facteur 100 permettant de nouvelles applications dans des secteurs économiques clés.
LOGICIEL
Les points forts
2009
> En 2009, le Leti et Ciat, fabricant d’équipement
de climatisation ont lancé le projet Vaicteur aiR2 (avec
le soutien d’OSEO), qui vise à développer des technologies améliorant la qualité de l’air dans les bâtiments
tout en réduisant la consommation d’énergie liée
au chauffage, à la ventilation et à la climatisation.
CIRCUIT INTÉGRÉ
MORE MOORE
MORE THAN MOORE
C O N VE R GE N C E
>
Développement de laboratoires
sur puce (lab-on-chip)
pour analyse biologique et chimique
Une approche holistique
de la conception
des systèmes embarqués
Santé
Dimension 100 x plus petite pour
implants à l’échelle du mm 3
Informatique
Puissance 100 x inférieure pour
calcul à haute performance
Produits de grande consommation
Bande passante 100 x plus
importante pour calcul distribué
Automobile
Coût 100 x inférieur pour
réseaux de véhicules
Composants intégrés /
83
85
Plateforme de conception 2012
CONTACT : [email protected]
“
Notre objectif est de
donner à nos clients la
possibilité d’intégrer plus
aisément l’innovation
Compte tenu du coût actuel
des technologies CMOS de pointe,
la flexibilité des SOC (System-OnChip ou système sur puce) doit être
prise en compte de manière aussi
anticipée que possible. L’initiative
Plateforme 2012, conjointement
mise en place avec
STMicroelectronics, s’intègre
dans une approche qui permettra
le développement industriel
de systèmes sur puce intégrés,
performants, et à faible
consommation d’énergie.
• des architectures Network-On-Chip (NOC) pour les interconnexions intelligentes, permettant une plus grande flexibilité des communications facilitant la programmation des
applications ;
• des designs GALS (Globally Asynchronous, Locally Synchronous ; globalement asynchrone, localement asynchrone)
avec des routeurs purement asynchrones pour des schémas
avancés de gestion de l’énergie ;
• des techniques d’optimisation de la consommation statique
et dynamique ;
Rapport d’activité 2009 /
blématiques de complexité,
de coûts et de délais de
commercialisation.
”
Validation sur des technologies CMOS
de pointe
Ces développements avancés ont été présentés et/ou ont fait
l’objet de publications lors de conférences majeures telles
ISSCC, VLSI et DATE. Ils ont été réalisés en étroite collaboration avec notre partenaire STMicroelectronics et ont été
validés sur silicium avec des technologies CMOS avancées
de 65nm, 45nm et sont en cours de validation sur du 32nm.
>
Jean-René Lequepeys,
responsable du département
Architecture Conception
et Logiciels Embarqués
Un nouveau contrôleur de mémoire cache
Les autres réalisations marquantes menées en 2009 concernaient le transfert à STMicroelectronics d’un nouveau
contrôleur de mémoire cache et d’un outil de modélisation
permettant d’évaluer la consommation d’un système sur
puce, et le démarrage d’un projet pour développer un dispositif NOC 3D utilisant l’empilement de puces interconnectées
par des TSV (through-silicon vias). ❉
Transfert de technologie à ST
En 2009, le transfert à STMicroelectronics d’une architecture
"réseau sur puce asynchrone" (ANOC) a constitué un événement important. Cette architecture permet une avancée
significative dans la gestion de la communication entre de
multiples processeurs en se basant sur des connexions
locales plutôt que globales.
Cette approche facilite l’extension des systèmes multiprocesseurs, tout en améliorant la flexibilité du routage et en
réduisant la consommation d’énergie. D’autres transferts de
technologie se rapportant à la gestion de la consommation et
l’architecture des communications sont à l’étude.
• la prise en compte des variabilités des process technologiques au niveau design et architecture ;
• l’évolution vers une intégration 3D.
86
tout en maîtrisant les pro-
>
e défi à relever est d’apporter une souplesse suffisante
au niveau SOC afin d’atteindre une combinaison optimale
entre performances, surface, packaging et fiabilité pour
n’importe quelles applications dans les domaines des
communications, de l’automobile, des multimédias et de la
défense.
Notre travail dans le cadre de la Plateforme 2012 valorise de
nombreuses années d’investissement pour la mise en place
d’une plateforme de conception de circuits intégrés de pointe
au sein du Leti. Parmi les solutions que nous proposons pour
résoudre les problèmes liés à la complexité des circuits numériques on peut citer :
dans leurs futurs produits,
>
>
Les architectures
numériques
pour
l’électronique
du futur
L
Les points forts
2009
> Le Centre de Conception des Circuits Intégrés
anticipe dés aujourd’hui l’apport au niveau architecture
des technologies alternatives, en particulier les nanofils
de-silicium, les nanotubes en carbone et le graphène.
Composants intégrés /
87
Concevoir pour la 3D
Routeur pour 3D NoC
CONTACT : [email protected]
4Ph / 2Ph
// - Série
// - Série
4Ph / 2Ph
>
Présentation de solutions
multi-puces innovantes
Grâce aux travaux des chercheurs du Leti (en collaboration avec
les partenaires industriels) l’intégration tridimensionnelle (3D) joue
un rôle plus important dans les applications system-on-chip avancées.
L
es approches traditionnelles visant à réduire la taille des
puces sont de moins en moins aisées. L’industrie du
semi-conducteur s’intéresse de plus en plus à des alternatives d’intégration 3D. En permettant d’empiler plusieurs
puces et de les relier de façon innovante, ces technologies
offrent la possibilité d’améliorer les performances et de réduire les coûts et la consommation énergétique. Il reste cependant de nombreux défis, notamment la complexité de la
conception, les problèmes thermiques, l’absence de normalisation et la fiabilité non prouvée.
Les programmes du Leti en matière d’architecture et de
conception de logiciels 3D visent à faire face à ces défis par
une série d’approches d’intégration 3D toujours plus avancées, tout en développant une méthode de conception 3D
ayant fait ses preuves.
Le Leti a déjà présenté, en association avec ST Microelectronics, un lot de fabrication complet pour l’intégration 3D en
88
Rapport d’activité 2009 /
vue d’associer des puces fabriquées avec des nœuds ou
technologies de processus différents. La démonstration
consistait à empiler un dispositif de 45 nanomètres (nm) sur
une puce de 130 nm contenant à la fois des dispositifs actifs
et des structures de test, afin de vérifier les contraintes thermomécaniques et les performances électriques.
Bien que ce flot de fabrication spécifique ait été conçu pour
des set-top-box (adaptateurs/récepteurs de télévision), il est
suffisamment générique pour permettre diverses possibilités d’intégration, notamment l’empilement memory-on-logic
(mémoire sur logique) et le partitionnement basé sur le
coût/le nœud de circuits intégrés spécifiques aux applications wireless et consumer.
Les équipes expérimentées d’architecture et de logiciels intégrés du Leti bénéficient d’outils de pointe, qui leur permettent de gérer tout le processus de conception, de l’application
au silicium. ❉
Les points forts
2009
Le NoC 3D améliore le rendement en utilisant, par exemple, l’auto-réparation
> En 2009, le Leti et R3Logic, vendeur
d’outils de conception de circuits intégrés
en 3D, ont mis en place un laboratoire
commun pour accélérer les développements dans le domaine de la co-conception d’ensembles de puces 3D pour
applications wireless et consumer.
> D’autres étapes importantes
pour le Leti l’année dernière :
exploration d’architectures 3D avec
network-on-a-chip, et caractérisation
et modélisation de processus 3D.
Composants intégrés /
89
Applications
Aider la personne
90
Rapport d’activité 2009 /
Améliorer les soins médicaux
> 92
Se connecter et communiquer
> 96
Des dispositifs intelligents
> 98
Systèmes Intelligents pour le corps et l’esprit
> 100
Garantir la sécurité
> 101
Aider la personne /
91
Améliorer les soins médicaux
CONTACT : [email protected]
>
Miniaturiser,
personnaliser et localiser
les soins médicaux
L
e Leti et l’industrie des semi-conducteurs ont la possibilité de proposer de nouveaux concepts et des applications évoluées pour une médecine personnalisée de pointe et
de meilleurs diagnostics qui aideront à satisfaire cette
demande et amélioreront la vie de nombreuses personnes.
Le Leti profite de son expertise de haut niveau dans le domaine des micro- et nanotechnologies pour mettre au point
de nouvelles technologies et des solutions innovantes qui
amélioreront les diagnostics et les traitements médicaux
ainsi que la surveillance environnementale, tout en générant
de nouvelles possibilités de marchés pour nos partenaires
industriels.
Nous poursuivons plusieurs axes de R&D dans les industries du secteur biologique et de la santé, à savoir :
• Des détecteurs numériques de rayonnements pour l’imagerie médicale et la sécurité
• L’imagerie moléculaire
>
L’augmentation de la population dans le monde, en particulier la
génération des plus de 60 ans, ira de pair avec une demande en
soins médicaux de meilleure qualité dans les pays en voie de développement pour nourrir un essor majeur sur le marché de la santé.
Le “point of care” dans les pays
en voie de développement
La miniaturisation, la connectivité et l’intégration ouvrent de
nouvelles voies aux diagnostics décentralisés. Les POC
constituent un potentiel énorme dans les pays en voie de développement où les équipements de diagnostics centralisés
sont installés dans les grandes villes et où l’infrastructure
médicale demeure insuffisante pour couvrir les nombreuses
populations rurales.
Les diagnostics moléculaires ont récemment offert de nouvelles perspectives. La recherche des causes moléculaires
des maladies et son extension aux diagnostics sont désormais considérées comme la voie vers une médecine personnalisée. Ce domaine est emergent et de nouvelles
générations de systèmes de diagnostics in vitro, en particulier des techniques innovantes de préparation d’échantillons,
sont requises.
• Les laboratoires sur puce pour les diagnostics in vitro, la
surveillance et le contrôle environnemental
• Des dispositifs médicaux portables et implantables
92
Rapport d’activité 2009 /
Aider la personne /
93
Améliorer les soins médicaux
Les points forts
2009
>
CONTACT : [email protected]
Implantable, connectable, portable
La microélectronique et les microsystèmes rendent possible
les technologies d’implantation des dispositifs médicaux et
joueront un rôle crucial dans le développement de nouvelles
thérapies sur le marché de la santé. La microélectronique et
les microsystèmes du Leti apportent connectivité et porta-
bilité. L’intégration 3D hétérogène de couches de détection
dans des dispositifs électroniques CMOS constitue la clé
d’une nouvelle génération de détecteurs d’imagerie médicale
et de diagnostics in-vitro, et nous augmentons continuellement nos compétences dans les domaines des matériaux,
de la microfluidique et de la chimie. ❉
> Laboratoire sur puce
La technologie EWOD (’Electrowetting-on-dielectric’
ou électromouillage sur diélectrique) développée
par le Leti est une plateforme de microfluidique
qui permet l’intégration de la manipulation des fluides
et d’un protocole complexe. Ce concept EWOD présente
un énorme avantage en termes d’intégration car
l’actionnement est exclusivement électrique et
ne nécessite aucun élément susceptible de se
déformer (déclencheurs ou valves) ou en mouvement
(pompes, seringues). Cette technologie est très prometteuse pour l’intégration et la miniaturisation de nombreuses applications biologiques. En 2009, le Leti a
présenté des applications de cette plateforme EWOD
telles la PCR en temps réel, qui comprend la préparation
d’échantillons et le dosage de troponine .
> Imagerie moléculaire
Un nouveau système de détection précoce
des cancers de la prostate associe l’imagerie optique
par ultrasons et par fluorescence à l’intérieur
d’une même sonde endorectale. Les ultrasons donnent
les informations morphologiques sur la prostate,
alors que le système optique détecte et localise
les tumeurs marquées par fluorophore.
En 2009, le Leti s’est penché sur le développement
d’une sonde transrectale adaptée aux mesures
de la tomographie optique diffuse par fluorescence.
La localisation des traceurs de fluorescence est basée
sur un laser pulsé et un système de détection résolue
en temps. Un algorithme de reconstruction est alors
utilisé pour faciliter la localisation et la quantification
de la fluorescence jusqu’à une profondeur cliniquement
adaptée de 2cm.
> Des partenaires dans le secteur de la santé
Les partenaires du Leti pour la commercialisation des
technologies de pointe pour les soins médicaux sont :
• bioMérieux, diagnostics in vitro
• Siemens, Trixell, STMicroelectronics, Scanco Medical
et Thalés, imagerie médicale
• Becton, Dickinson et ELA Medical,
équipements médicaux
• Sanofi Pasteur, Merial, médicaments
• Cofely, Schneider, CIAT, environnement
• PME et startup partenaires françaises : Fluoptics,
Movea, PX’Therapeutics et BioLogic
94
Rapport d’activité 2009 /
Aider la personne /
95
Se connecter et communiquer
Les points forts
2009
CONTACT : [email protected]
>
Anticiper
les télécommunications du futur
> Nous proposons des solutions technologiques
qui vont au delà l’état de l’art afin d’améliorer
les performances des technologies en terme
d’expérience utilisateur, notamment concernant
la consommation d’énergie, l’utilisation du spectre
radio-fréquence, le support de la mobilité, la souplesse
d’utilisation, la sécurité, et les coûts.
N
ous travaillons sur les couches basses et les protocoles
des systèmes de télécommunication sans fil et sur
l’optimisation de leur utilisation : systèmes d’antennes,
front-ends radio-fréquence, bande de base numérique,
algorithmes sophistiqués de traitement des signaux, protocoles de contrôle d’accès au support (MAC) et les techniques
de management des ressources radio.
L’optimisation et la conception de ces blocs matériels et
logiciels nécessitent une étroite combinaison de multiples
connaissances et expertises dans les domaines ci-après,
à savoir :
• les normes existantes et émergentes des réseaux de
télécommunications
• l’architecture des émetteurs/récepteurs sans fil
• le traitement des signaux
• la propagation des signaux et la modélisation des canaux
radio
• la conception des systèmes d’antennes
>
Les projets du Leti en matière de télécommunications exercent un
effet de levier sur nos compétences dans les micro- et nanotechnologies, dans la conception des composants et des systèmes en soutien
des innovations menées pour le développement des communications
sans fil. Ces projets aident nos départements technologiques comme
le DIHS, à anticiper les besoins futurs dans les télécommunications.
Des partenariats avec des leaders mondiaux
Nous établissons des partenariats avec les plus grands
groupes mondiaux de l’électronique et des télécommunications. Citons parmi les projets récents une nouvelle technologie radio pour le transfert de données sans fil à haut débit
et courte portée avec Nokia, des technologies avancées pour
les réseaux de capteurs avec Fujitsu et des équipements cellulaires pour Amesys.
Nous collaborons aussi, entre autres, avec Alcatel-Lucent,
Ericsson, EADS, Sagemcom, Thales, Orange, Telefónica, Vodafone, et British Telecom.
Les projets de télécommunications concernent notamment:
• Les systèmes à large bande et les systèmes cellulaires “4G
et 4G avancée”
• Les systèmes de communication à faible débit et courte
portée (quelques mètres), comme les réseaux de capteurs
et d’actionneurs sans fil
• le codage avancé
• Les systèmes de communication à haut débit, courte portée pour le multimédia et d’autres applications
• les protocoles de contrôle d’accès au support (MAC) et le
management des ressources radio
• Les technologies de radio cognitive qui sélectionnent automatiquement le meilleur accès radio “disponible”
• la conception des composants RF, analogiques et numériques
Le rendement énergétique est un thème de travail continuel
dans toutes les recherches menées ; le Leti participe donc à
de grands projets collaboratifs de dimension mondiale dont
l’objet est de relever les défis énergétiques dans les réseaux
d’une manière holistique. Nous sommes aussi fortement impliqués dans les programmes de sécurité et de défense associés aux technologies des télécommunications. ❉
• la réalisation de plateformes sophistiquées de test et de
prototypage du matériel
96
Rapport d’activité 2009 /
Aider la personne /
97
Des dispositifs intelligents
Les points forts
2009
CONTACT : [email protected]
> Ce travail démontre que les capteurs
de force 3D MEMS mis au point par le Leti
permettent un éventail d’applications tactiles ;
il ouvre également de nouvelles voies
vers des mécanorécepteurs tactiles humains
par la miniaturisation, la sensibilité,
la densité et la flexibilité.
>
Des capteurs au service
de la chirurgie et du “toucher”
S
urgiMag est un projet Minalogic dont le principal objectif
est de combiner l’imagerie et la localisation magnétique
pour la chirurgie assistée par ordinateur. Nos principaux partenaires sont des sociétés grenobloises : SurgiQual Institute,
Cedrat Technologies et Movea.
Lancé en 2008, le projet était centré l’an dernier sur :
• la réalisation et la caractérisation de petits capteurs
(15x15x15mm) pouvant atteindre une grande précision avec
un rapport signal sur bruit élevé
• la mise en place et le test de solutions destinées à la détection et à la compensation des perturbations magnétiques
par des objets proches tels les tables ou outils chirurgicaux
• la réalisation d’une application clinique pour la radiologie
interventionnelle basée sur la localisation magnétique
Ces expériences, qui étaient basées sur le savoir-faire du
Leti dans le magnétisme et la localisation avec six ddl (degrés de liberté) ont abouti au dépôt de plusieurs brevets dans
le domaine de la compensation magnétique.
>
Les partenaires du projet SurgiMag mettent au point des techniques
chirurgicales assistées par ordinateur en combinant une technologie
d’imagerie et la localisation magnétique. Les capteurs tactiles conçus
pour l’identification des textures ont de nombreuses applications possibles.
Toucher artificiel
grâce au capteur d’effort 3D
L’intérêt du Leti pour les dispositifs intelligents en 2009 s’est
porté sur la conception, la caractérisation et l’utilisation d’un
système de toucher artificiel pour la reconnaissance tactile
des textures. De récents résultats nous ont permis de valider
les possibilités de reconnaissance grâce à un doigt artificiel
que nous avons conçu.
Ce doigt artificiel intègre un capteur de force triaxial mis au
point par le Leti, qui est recouvert d’une pellicule en polyuréthane. Le frottement du doigt contre des surfaces s’effectue
au moyen d’un bras robotisé conçu par nos soins.
©Gunnar3000
©Ferrry
Les capteurs tactiles de reconnaissance des textures ont des
applications possibles dans un grand nombre de domaines.
Nous avons développé des moyens de caractérisation
appliqués dans un premier temps au papier et au tissu, et
qui seront pertinents pour d’autres textures comme le
feraient les consommateurs.
Les autres applications potentielles englobent la caractérisation de la douceur et/ou l’elasticité de la peau pour l’industrie des cosmétiques, la manipulation d’objets articulés
pour la robotique et la détection tactile pour la chirurgie à
accès minimal. ❉
©etienne guerry
©Marc Dietrich
98
Rapport d’activité 2009 /
©Yasoya
Aider la personne /
99
Systèmes intelligents pour le corps et l’esprit
Garantir la sécurité
CONTACT : [email protected]
CONTACT : [email protected]
Les jeux du mouvement
Le projet Motion In Gaming (le mouvement dans les jeux)
souligne le potentiel de la technologie de la capture de mouvements développé par le Leti et Movea qui pourrait révolutionner l’industrie du jeu.
La technologie a prouvé ses capacités pour satisfaire les besoins des joueurs occasionnels qui désirent une expérience
conviviale (intuitive, amusante, excitante) et également répondre aux exigences des joueurs invétérés qui souhaitent
une expérience sophistiquée (nouvelles caractéristiques et
nouveaux défis, longues durées de jeu). Ces technologies
pourraient également être développées à des fins ludoéducatives.
Le projet, financé par Gravit, avait clairement une approche
centrée sur l’utilisateur et impliquait quatre partenaires du
Leti : Movea, l’Université Pierre-Mendès-France, WideScreen
Games et XpTeam.
>
ette collaboration entre le Leti, la Société Européenne
de Défense Aéronautique Spatiale (EADS) et l’entreprise
3D+ vise une application dédiée au repérage de pompiers
dans un bâtiment en feu. Le système utilise un dispositif de
radio communication mobile professionnel Tetrapol, un ensemble d’accéléromètres et magnétomètres MEMS (technologie micro fluxgate) 3 dimensions pour la détection des
postures et aussi un émetteur-récepteur ASIC à ultra large
bande dans le système de positionnement.
>
>
C
>
> En 2009, nous nous sommes entre autres
concentrés sur l’évaluation de la capacité des systèmes
sans contact, comme les cartes à puces, à éviter
toutes menaces de type écoute et relais. Nous avons
également évalué les capacités des systèmes biométriques, comme les systèmes basés sur les empreintes
digitales, pour éviter toute utilisation frauduleuse.
Le Leti développe des
applications microtechnologiques
destinées à la sûreté,
au jeu et à l’art.
Le programme DEMOLOC développe actuellement un système
servant à localiser des personnes dans une structure et à déterminer
leur position - debout, assise ou allongée.
Mettre à
l’épreuve les
produits stockant
des informations
personnelles
“
L’objectif du CESTI est
de servir de laboratoire
de référence qui renforce
la position de leader de
La sécurité des cartes à puces, des cartes
bancaires, des passeports électroniques et
d’autres produits contenant des informations
personnelles est vitale. Le Centre d’Évaluation
de la Sécurité des Systèmes d’Information
du Leti joue un rôle clé pour garantir aux
utilisateurs la sécurité de leurs données.
L’initiative conjointe de l’Atelier Arts-Sciences avec la Scène
Nationale “l’Hexagone”, a contribué à la production d’interface électronique modulaire basée sur l’association de multicapteurs et de logiciels associés. Avec un ensemble de
briques technologiques développées et par le biais de cette
initiative, le Leti peut diffuser son savoir faire technologique
dans la communauté artistique tout en s’enrichissant de la
créativité des artistes. ❉
a mission du CESTI est de déterminer si les composants
et dispositifs de sécurité sont conçus et fabriqués pour
éviter toute intrusion et également de vérifier s’ils peuvent
résister aux attaques (fraude, criminalité, terrorisme ou autres). Les produits qui passent l’évaluation du CESTI sont
certifiés par l’ANSSI (Agence Nationale pour la Sécurité des
Systèmes d’Information).
Ces 10 dernières années, le CESTI a évalué de nombreux
produits de sécurité, notamment 80 % des cartes bancaires
françaises de dernière génération, les cartes Vitale 2,
des passeports électroniques et cartes d’identité. Le
laboratoire analyse également la sécurité des capteurs,
Rapport d’activité 2009 /
> En 2009, le Leti (matériel) et Sogeti (logiciels)
se sont alliés pour concevoir une offre de sécurité qui
garantit aux clients des deux entités un point d’entrée
unique pour tous leurs besoins de sécurité liés aux TIC,
comprenant l’évaluation et l’expertise des systèmes,
logiciels et matériels.
S’inspirer de la créativité des artistes
L
100
Les points forts
2009
l’Europe, et plus particulièrement de la France, dans
l’évaluation des dispositifs
à haute sécurité.”
Alain Merle,
Responsable des
Programmes Sécurité
et Défense au LETI.
”
dispositifs et autres composants utilisés dans les systèmes
de sécurité des infrastructures publiques et des installations
industrielles.
Le CESTI évalue des produits de sociétés de pointe comme
Samsung, ATMEL, STMicrolectronics, Gemalto, Sagem
Sécurité et OCS.
Ces activités font partie de la Ligne de Programme Sécurité
et Défense du LETI, qui encouragent le développement de
solutions de sécurité innovantes pour les Technologies de
l’Information et de la Communication (TIC) en vue d’un transfert sur les marchés de la défense et les marchés commerciaux. ❉
Aider la personne /
101
Applications
Protéger
la planète
102
Rapport d’activité 2009 /
Capteurs environnementaux
> 104
L’électronique de puissance
> 105
L’Initiative GreenTouch
> 106
Comment aider la terre depuis l’espace
> 108
Protéger la planète /
103
Capteurs environnementaux
L’électronique de puissance
CONTACT : [email protected]
CONTACT : [email protected]
>
Les points forts
2009
> En 2009, le Leti a réalisé de grandes avancées dans
le développement des détecteurs de gaz. Nous continuons
à concevoir des microsystèmes pour la détection des métaux
lourds, la surveillance des légionelloses dans les environnements industriels et la détection de quantités infimes de
cyanobactéries (ou algues bleues) dans les lacs.
Le domaine de l’électronique de puissance offre un potentiel énorme
pour la conversion de l’énergie, l’amélioration de la productivité
et la production d’une énergie propre, depuis le système de commutation
pour une voiture hybride jusqu’à la gestion des panneaux solaires.
> En 2009, nos partenaires étaient ELTA, Ethera, Cofely,
Suez Environnement, CIAT, Cairpol, Schneider et Areva.
Les substances polluantes sont souvent une conséquence néfaste du
progrès. Néanmoins, les micro- et nanotechnologies émergentes offrent
des possibilités sans précédent dans la détection de ces substances
avant qu’elles ne deviennent nocives.
>
En concevant des systèmes portables pour la surveillance de
l’environnement et la sécurité, le Leti contribue à assurer sûreté
et sécurité : les laboratoires sur puce dédiés à la détection des
substances biologiques et des produits chimiques dangereux.
Une approche orientée
vers une industrialisation rapide
>
La stratégie du Leti consiste à développer des microsystèmes
pour l’analyse biologique et chimique en ayant pour objectif une
industrialisation rapide par ses partenaires.
Surveillance de l’environnement
Le but étant de proposer à nos partenaires des dispositifs entièrement automatiques pour la surveillance environnementale,
les microtechnologies représentent une opportunité réelle pour
104
Rapport d’activité 2009 /
le développement d’analyseurs déportés qui assurent cette
fonction.
Ces systèmes collecteront, prépareront et analyseront les
échantillons. Les méthodes proposées sont :
• l’analyse biologique d’agents pathogènes comme les virus, les
bactéries et les champignons dans des échantillons d’eau ou
d’air,
• l’analyse chimique des métaux lourds dans l’eau,
• l’analyse de l’air au moyen de détecteurs de gaz destinés à
mesurer les concentrations de CO2 et de composés organiques
volatiles, la surveillance de la qualité de l’air dans les installations industrielles et la détection des explosifs.
>
L
a demande de dispositifs très sensibles pour l’analyse de
l’air, de l’eau ou des sols qui permettent d’éviter la prolifération de nouvelles matières toxiques, d’agents pathogènes, de
produits chimiques dangereux et d’autres polluants, est en augmentation. A cela s’ajoute la nécessité d’effectuer des analyses
déportées sur site. Ainsi, outre la sensibilité, les analyseurs et
les capteurs doivent allier portabilité, autonomie et faible coût.
L
’équipe du Leti en charge de l’électronique de puissance est
parvenue en 2009 à une réalisation majeure, avec la mise
en place de sa roadmap technologique. Le document qui couvre
la période 2009 à 2014 définit les efforts de recherche à déployer
pour le développement de dispositifs viables sur le plan commercial, capables de gérer des tensions et des courants élevés.
>
>
Les Laboratoires sur puce
détecteront les substances
polluantes très vite et sur site
Un nouvel élan
pour la micro-électronique
en France
Des dispositifs plus intelligents
pour un meilleur rendement énergétique
Les nouveautés techniques comprennent les composés GaN
(nitrure de gallium), les packagings adaptés à des environnements haute puissance et la conception de dispositifs convertisseurs de puissance et de commutation de puissance haute
température.
Les chercheurs du Leti perfectionnent actuellement des matériaux à k élevé pour les couches de passivation et les contacts
MOS dans les dispositifs de puissance ; ils se pencheront également sur des techniques novatrices de collage et d’assemblage pour les nouveaux substrats.
L’objet du Leti est de participer activement à la mise en place
de solutions industrielles à faible coût pour les prochaines
générations de voitures électriques, de panneaux photovoltaïques et pour l’amélioration des convertisseurs industriels de
puissance. ❉
“
Nous relevons un défi
sociétal important :
l’utilisation de l’énergie.
En concevant une électronique de puissance plus
performante et moins
onéreuse, nous pouvons
optimiser chaque étape
de la chaîne d’approvisionnement en énergie.
”
Hughes Metras,
Coordinateur Programmes
et Ventes au Leti.
Qualité de l’air intérieur
Les technologies englobent les mesures de la qualité de l’air
intérieur ainsi que les mesures sur site de métaux lourds dans
les rivières ou les lacs. Par exemple, en partenariat avec le
CEA/DSM/Iramis, nous avons conçu un système extrêmement
sensible pour la détection du formaldéhyde, une molécule
volatile carcinogénique présente en très faibles concentrations
à l’intérieur des bâtiments. ❉
Les points forts
2009
> L’effort mené dans le domaine de l’électronique de puissance
implique un ensemble de partenaires prestigieux, notamment III-V
Labs (une joint venture entre Thales et Alcatel-Lucent), Freescale,
et Soitec, le pionnier du SOI. Des ressources supplémentaires
en recherche sont fournies par le CNRS/LAAS à Toulouse,
le CNRS/CRHEA à Sofia Antipolis, et le LTM à Grenoble.
Protéger la planète /
105
L’initiative GreenTouch
CONTACT : [email protected]
>
Collaborer
pour définir des réseaux
de télécommunications
1 000 fois plus économes
L
>
es technologies concernées du Leti englobent les architectures et les composants innovants pour les émetteurs
et les récepteurs basse puissance, les nouvelles techniques
de communications incluant le codage, les modulations avancées, les traitement multi-antennes, les protocoles, le management des ressources radio et aussi de nouvelles architectures
des réseaux sans fil intégrant des femto-cellules.
Définir le défi, mettre en place des solutions
L’objet de l’initiative GreenTouch est de définir dans un premier temps des objectifs dans les différentes composantes
du réseau global, de définir une architecture de référence du
réseau, puis d’identifier, planifier, développer et démontrer
des solutions technologiques pour atteindre l’objectif d’ici
2020.
>
Le Leti est un membre fondateur de l’Initiative GreenTouchTM,
un consortium mondial réunissant des industriels, des laboratoires
institutionnels et des universitaires autour du développement
de technologies permettant à l’horizon 2020 de réduire
de trois ordres de grandeur la consommation énergétique
des réseaux de communications.
Une approche radicalement nouvelle
L’objectif de GreenTouch nécessite une approche radicalement nouvelle dans la conception et le management des réseaux de communication, y compris Internet.
Les avantages pour le grand public, l’industrie et les membres sont les suivants :
• Des réductions massives de la consommation d’énergie,
de l’empreinte carbone et des coûts d’exploitation.
• Une réinvention des réseaux de communication actuels.
• Une collaboration sans précédent entre les plus grands
experts internationaux.
• Une nouvelle application de la recherche fondamentale.
• Des possibilités de commercialisation de nouveaux
concepts, produits et solutions. ❉
Les points forts
2009
> Une réduction d’un facteur 1000
de la consommation d’énergie équivaut
approximativement à une exploitation
des réseaux mondiaux de communication
(Internet compris) pendant trois ans avec
la même quantité d’énergie que celle
actuellement requise pour une journée.
> Les membres fondateurs de l’Initiative
GreenTouch sont :
• Les laboratoires industriels :
Alcatel-Lucent Bell Labs, Freescale
Semiconductor, Samsung Advanced
Institute of Technology, Huawei.
• Les fournisseurs de services : AT&T,
Orange, Telefonica, Vodafone, Swisscom,
Portugal Telecom, China Mobile.
• Les laboratoires institutionnels :
Research Laboratory for Electronics (MIT),
Wireless Systems Lab (Université
de Stanford), Institute for a Broadband-Enabled Society (Université de Melbourne).
• Les instituts publics et sans but lucratif :
CEA-Leti, Imec, INRIA.
Site Web : www.greentouch.org
106
Rapport d’activité 2009 /
Protéger la planète /
107
Comment aider la terre depuis l’espace
Les points forts
2009
Une meilleure
connaissance
du champ
magnétique
grâce aux
appareils
conçus
par le Leti
108
Rapport d’activité 2009 /
“
Une fois en orbite, les
magnétomètres à l’hélium
de Swarm prendront la relève des instruments NMR
> Cette année, le magnétomètre du Leti sera aussi
installé à bord de la mission Arctic Challenge de J.L.
Etienne, qui bénéficiera directement des développements de l’appareil réalisés pour Swarm.
du Leti à bord des satellites Oersted et CHAMP,
pour assurer une surveillance permanente
du champ magnétique
depuis l’espace pendant
plus de 15 ans.
”
© Fr. Latreill
Jean-Michel Leger,
Directeur Adjoint
du Département DSIS
Ce magnétomètre scalaire absolu, dont les performances
métrologiques sont excellentes, est situé à l’extrémité d’un
mât pour l’éloigner des perturbations générées par le satellite. Il a ainsi permis, grâce à sa fiabilité, de collecter des
données de très grande qualité, bien au-delà des 14 mois de
mission initialement prévus.
Le succès de la mission Oersted a donné naissance à d’autres projets spatiaux intégrant des magnétomètres développés par le Leti. La mission allemande CHAMP a été lancée en
2000, et Swarm, un projet de l’Agence Spatiale Européenne,
est prévu pour 2011 ou 2012.
La mission Swarm à trois satellites bénéficiera pleinement
d’une nouvelle génération de magnétomètres conçus par le
Leti. En effet, si les magnétomètres scalaires utilisés pour
ces trois missions reposent sur la spectroscopie atomique, la
technologie du pompage optique de l’hélium sélectionnée
pour Swarm constitue une avancée majeure par rapport aux
principales limitations imposées par notre précédent instrument de Résonance Magnétique Nucléaire.
L’objet de la mission est d’obtenir le meilleur relevé encore
jamais réalisé du champ magnétique et de son évolution
temporelle. La mission est également destinée à acquérir de
nouvelles connaissances qui contribueront à améliorer notre
connaissance de l’intérieur de la Terre et de son climat.
Trois orbites différentes
Dans trois orbites polaires différentes à une altitude variant
de 400 km à 550 km, les satellites enregistreront des mesures de hautes précision et résolution de l’intensité et de la
direction du champ magnétique. En combinaison, ils délivreront les observations requises pour modéliser les diverses
sources du champ.
Swarm permettra aussi de surveiller les aspects de variabilité du champ dans le temps, une amélioration majeure par
rapport à la méthode actuelle d’extrapolation basée sur des
statistiques et des observations de la terre.
>
Un essaim de satellites
Climat et météorologie
Les modèles de champ magnétique issus de la mission
Swarm amélioreront notre connaissance des processus
atmosphériques liés au climat et au temps. Ces modèles
trouveront aussi des applications pratiques dans de nombreux domaines, comme la météorologie spatiale et les
risques liés aux rayonnements.
>
L
’an dernier a été célébré le 10 e anniversaire du lancement du Projet Oersted, un effort encadré par le
Danemark pour fournir aux scientifiques européens des
informations sur le champ magnétique depuis l’espace.
Oersted utilise un magnétomètre nucléaire à résonance
magnétique conçu par le Leti et fourni par le CNES pour
mesurer le champ magnétique.
>
La connaissance du champ
magnétique terrestre qui
protège la planète contre les
effets nocifs du vent solaire
a de nombreuses applications
économiques, scientifiques
et pratiques. En tant que
partenaire technologique clé
dans trois missions spatiales,
le Leti a proposé son savoirfaire afin d’aider les scientifiques à mieux comprendre les
sources et les caractéristiques
du champ magnétique.
> Les éléments à fournir par le Leti en 2009
comprenaient trois modèles d’ingénierie
du magnétomètre entièrement opérationnels.
Nous avons aussi qualifié séparément
tous les sous-systèmes des magnétomètres Swarm,
en particulier les systèmes électroniques, les capteurs,
la distribution électrique, les logiciels et le laser,
physiquement intégré dans l’unité de traitement
des données.
>
>
CONTACT : [email protected]
Combiner les résultats
avec le travail en laboratoire
Les connaissances acquises au fil de ces missions associées
aux résultats des expériences de laboratoire (comme le
projet VKS, une collaboration entre le CEA, le CNRS, l’École
Normale Supérieure de Lyon et l’École Normale Supérieure
de Paris) nous aident à comprendre la structure et l’évolution
dans le temps du champ magnétique. ❉
Protéger la planète /
109
Organisation générale
Directeur
Laurent MALIER
PlateForme
d’Innovation
Ouverte
Département
NaNoTec
Olivier
DEMOLLIENS
110
Rapport d’activité 2009 /
Département
Intégration
Hétérogène
Silicium
Bruno
MOUREY
Plateforme
Clinatec
Département
Plateforme
Technologique
Silicium
Patrick
DUSSOUILLEZ
Département
micro
Technologies pour
la Biologie
et la Santé
Jean
CHABBAL
Département
OPTronique
Xavier
HUGON
Département
Architecture
Conception et
Logiciels
Embarqués
Jean-René
LEQUEPEYS
Département
Systèmes
et Intégration
Système
Roland
BLANPAIN
Organisation générale /
111
Rédaction :
Loomis Group
Conception et réalisation :
Crédits photographiques :
CEA-Leti, CEA-Leti /G.Cottet, Replisaurus, X
CEA-Leti > Juin 2010
Toute reproduction, même partielle,
est interdite sauf autorisation expresse du Leti.