Etude des jonction ultrafines pour les technologies CMOS 45 nm et

Transcription

Etude des jonction ultrafines pour les technologies CMOS 45 nm et
N° d’ordre 2008-ISAL-0077
Année 2008
Thèse
Etude des jonctions ultrafines pour les
technologies CMOS 45 nm et en deçà par
simulation atomiqtique
présentée devant l’Institut National des Sciences Appliquées de Lyon
Pour obtenir le grade de docteur
Ecole doctorale : Electronique, Electrotechnique, Automatique
Spécialité : Dispositifs de l’Electronique Intégrée
par
Julien SINGER
Soutenue le 4 Novembre 2008 devant la commission d’examen
Jury :
LEMITI Mustapha
LAMPIN Evelyne
MATHIOT Daniel
PONCET Alain
JARAIZ Martín
CRISTIANO Fuccio
CUETO Olga
DURIEZ Blandine
Professeur
Chargée de Recherche
Professeur
Professeur
Professeur
Chargé de recherche
Ingénieur
Ingénieur
Président
Rapporteur
Rapporteur
Directeur de thèse
Examinateur
Examinateur
Encadrante
Invitée
Thèse préparée dans le cadre d’une convention CIFRE avec NXP Semiconductors
2
“Prediction is always difficult, and above all of the future.”
(Il est toujours difficile d’être prédictif, surtout à propos du futur.)
Niels Bohr
3
Remerciements
REMERCIEMENTS
Je voudrais commencer par remercier Frédéric, François, et Olga, qui se sont relayés pour m’encadrer…
c’est qu’en microélectronique, les situations changent vite ! Frédéric Salvetti, pour sa disponibilité sans
faille. Ses conseils avisés m’ont guidé tout au long de ce travail, et bien qu’il ait quitté Crolles avant la fin
de cette thèse, c’est lui qui m’a mis sur les rails. François Wacquant, dont les conseils se sont toujours
avérés pertinents, avant, pendant, et après son encadrement par interim… merci aussi pour les cordées,
du calcaire grenoblois au granit des Ecrins ! Olga Cueto, enfin, qui m’a encadré et soutenu, elle aussi avec
énormément de disponibilité. Ce fut un plaisir de la côtoyer pendant la dernière année de ce travail.
J’espère que cet hiver aussi, d’autres excursions à ski suivront.
Géographiquement plus distant, mais toujours là quand j’avais besoin d’un conseil, le Professeur Martín
Jaraíz a été d’une aide précieuse du début à la fin de notre collaboration. Merci à lui de m’avoir accueilli
trois fois dans son équipe, à Valladolid : ces formations ont toutes été extrêmement fructueuses. J’en suis
toujours revenu avec des idées plein la tête, et une motivation plus grande.
Je ne saurais oublier Alain Poncet, dont j’ai apprécié l’encadrement pendant trois ans. Sa disponibilité
sans faille et ses conseils avisés en ont fait un directeur de thèse dont le soutien me fut précieux.
Je tiens à remercier les membres du jury, présidé par le Professeur Mustapha Lemiti, à qui, trois ans après
avoir quitté son laboratoire de photovoltaïque, j’ai été ravi de présenter ces résultats de thèse ; un merci
particulier à Daniel Mathiot et Evelyne Lampin pour avoir accepté de relire mon rapport.
Merci à André Juge de m’avoir accueilli dans l’équipe de modélisation de l’Alliance, et surtout à Davy
Villanueva, responsable de la simulation TCAD (procédés et dispositifs), dont le juste niveau d’exigence a
permis d’élever le niveau de ce travail.
Je remercie aussi Fabien Boulanger, qui m’a accueilli, pour cette dernière année, au laboratoire de
simulation et caractérisation des procédés et dispositifs (LSCDP) du CEA-LETI, et bien sûr Jean-Charles
Barbé, responsable de la simulation TCAD dans ce même labo.
Merci à toute l’équipe TCAD de Crolles : à Daniel Chanemougame, pour ses divers conseils : que
l’Amérique te sourie ! à Phuong N’Guyen, à David Fraboulet, pour m’avoir mis en contact avec le
LSCDP ; merci aussi à Stéphane O., Vincent, Hélène et Charles. Merci aux implanteurs de Crolles :
Nathalie Cagnat-Drogue (« experte en dopage », elle le dit elle-même), et Cyrille Laviron, pour
l’implantation : notre étroite collaboration a plus que contribué à la réalisation de cette thèse ; merci aussi
à Pierre Morin de s’être intéressé à mon travail. Je voudrais également dire merci à toute l’équipe de
4
Remerciements
caractérisation physique, et en particulier Christophe Wyon, Dominique Delille, Laurent Clément,
Simone Pokrant, pour leurs soutiens, et leurs manips – je peux le dire maintenant – parfois peu
réglementaires, qui m’ont pourtant été indispensables. Merci à Loek Kwakmann, pour son soutien face
aux difficultés chez STM. Enfin, je n’oublierai pas de remercier Myriam Vialle, notre assistante à Crolles,
pour son enthousiasme quotidien, sa bonne humeur inaltérable, et sa patience infinie face à l’annulation
des missions… la veille du départ.
Et puis, un beau jour, j’ai atterri au LETI, où j’ai rencontré plein de gens formidables : Olga, Pierrette,
Fabien B. et Jean Charles, Gilles, Pascal, Marie-Anne, François de C., Philippe, François T., Sylvain ;
mais aussi Fabien F.-V. et Cyrille A., qui se sont succédé dans mon bureau (quand je disais que le
contexte de la microélectronique était changeant !), Stéphane K., Benoît, Sébastien, Emmanuelle, Brice,
Daniel, Pierre-Yves. Sans omettre Estelle, Pauline, et Elisabeth, nos assistantes au LETI. Et les autres,
que j’ai oubliés. Il y en a forcément. Tous m’ont accueilli chaleureusement, ce fut un réel plaisir d’arriver
ici, parmi vous tous.
Je souhaite exprimer ma profonde reconnaissance à l’équipe du département d’électronique de
l’Université de Valladolid. En tout, ce sont plus de deux mois que j’ai passé en leur compagnie :
compagnie fort agréable ! De chacun de ces séjours, j’ai rapporté des semaines de travail, un recul
toujours plus grand sur la simulation – atomistique ou non. Leurs explications, claires au possible, m’ont
guidé au cours des mois de cette thèse. Sans compter qu’ils m’ont inculqué un peu de la culture
espagnole, leurs connaissances étant impressionnantes ! Merci à Pedro, Martín, et Emiliano, pour leurs
excursions aux quatre coins de la Castilla y León, de ses villes et villages merveilleux à ses montagnes au
climat nordique (si, si, j’ai des photos). Une mention toute particulière à Pedro, dont la verve ne tarit
jamais, pour ses explications historiques et architecturales aussi intéressantes que passionnées. Merci
également à Ruth, Lourdes, María, et tous les autres. Et un grand merci à Santiago Caballero, pour son
accueil prompt et chaleureux.
Je remercie Liviu Militaru, de l’INL, pour ses conseils et son aide sur la DLTS.
Il m’est impossible de ne pas citer ici les personnes du CEMES et du LAAS, qui nous ont accueillis très
chaleureusement au cours de l’été 2007. Je nommerai ici Pier-Francesco Fazzini, Fuccio Cristiano, Alain
Claverie, mais je pense aussi à toutes les autres personnes de ces deux laboratoires.
Un grand merci aux ressources humaines de Philips / NXP : Ingrid Leboucher, Catherine Gardent,
Nathalie Giraud, mais aussi Jean-Noël Palazin, dernière lumière visible du bateau NXP depuis le bassin
grenoblois. Merci aux thésards NXP, pour leur solidarité dans les moments difficiles : Aurélie, Lise ,
Sophie, Alexis, Antoine, Bruno, Grégory, Jean-Noël, Karim, Matthieu, Thomas…
Merci à Monsieur Gamberini, pour sa patience et son caractère toujours arrangeant.
Merci à maman de s’être attaquée à ces 200 pages de « chinois », pour que tous les accords soient
respectés et les accents bien à l’endroit ; merci à papa et à toute ma famille pour leur soutien.
Et enfin Aurélie, merci, pour celle que tu es…
5
Table des matières
TABLE DES MATIERES
Remerciements ...................................................................................................................................................................4
Table des matières .............................................................................................................................................................6
Table des figures et tableaux ...........................................................................................................................................8
Glossaire et abréviations ............................................................................................................................................... 13
Introduction ..................................................................................................................................................................... 17
Des performances et des fuites.............................................................................................................................................................................17
De l’importance des jonctions ..............................................................................................................................................................................18
La simulation : un outil d’analyse et de prospection.......................................................................................................................................19
L’objectif de ce travail de thèse et la démarche suivie....................................................................................................................................19
Chapitre I.
I.1
Physique des défauts et de la diffusion ............................................................................................. 21
L’évolution des défauts cristallins............................................................................................................................................................21
I.1.1
I.1.2
I.2
La structure du cristal de silicium.............................................................................................................................................................................21
Lacunes et interstitiels..................................................................................................................................................................................................22
La diffusion des impuretés ........................................................................................................................................................................39
I.2.1
I.2.2
I.2.3
I.2.4
I.2.5
La présence d’impuretés dans le silicium...............................................................................................................................................................39
Configuration et diffusion en condition d’équilibre ..........................................................................................................................................40
Les effets conduisant à l’anisotropie du milieu (silicium).................................................................................................................................48
Les interfaces ..................................................................................................................................................................................................................51
Les phénomènes perturbant la diffusion à l’équilibre.......................................................................................................................................53
Chapitre II.
II.1
La simulation des procédés : différentes approches pour différentes échelles ....................................................................61
II.1.1
II.1.2
II.1.3
II.2
La simulation continue par résolution des équations aux dérivées partielles ............................................................................................62
Les simulations à l’échelle atomique prenant en compte les vibrations des atomes...............................................................................65
Les méthodes Monte Carlo .......................................................................................................................................................................................66
Présentation du logiciel de simulation atomistique DADOS ...................................................................................................70
II.2.1
II.2.2
II.2.3
II.3
Modélisation / Implémentation des mécanismes décrits dans le premier chapitre................................................................................72
Participation au développement et au calibrage de DADOS.........................................................................................................................83
Matériel et temps de calcul.........................................................................................................................................................................................90
Résultats de simulation DADOS ......................................................................................................................................................91
II.3.1
II.3.2
II.3.3
Test de l’outil ..................................................................................................................................................................................................................91
Etudes réalisées avec DADOS.............................................................................................................................................................................. 114
Limites, perpectives................................................................................................................................................................................................... 137
Chapitre III.
III.1
III.2
III.2.1
III.2.2
III.3
III.4
6
La simulation atomistique............................................................................................................... 61
Etude par DLTS des niveaux profonds associés aux défauts étendus du silicium .........139
Introduction.......................................................................................................................................................................................... 139
Les niveaux profonds dans le silicium .......................................................................................................................................... 140
Origine des niveaux profonds................................................................................................................................................................................140
Interaction des niveaux profonds avec les porteurs libres............................................................................................................................ 140
Description de la méthode de mesure .......................................................................................................................................... 143
Méthode de modélisation du spectre mesuré............................................................................................................................. 147
Table des matières
III.5
Résultats .................................................................................................................................................................................................148
III.5.1
III.5.2
III.5.3
III.6
III.7
Description de l’échantillon.....................................................................................................................................................................................148
Présentation du spectre obtenu et premiers résultats .....................................................................................................................................149
Modélisation du spectre obtenu.............................................................................................................................................................................150
Discussion .............................................................................................................................................................................................152
Conclusion.............................................................................................................................................................................................154
Chapitre IV.
IV.1
IV.1.1
IV.1.2
IV.2
IV.2.1
IV.2.2
IV.2.3
IV.3
IV.3.1
IV.3.2
IV.3.3
IV.3.4
IV.4
IV.4.1
IV.4.2
IV.4.3
IV.4.4
IV.4.5
IV.5
IV.5.1
IV.5.2
IV.5.3
IV.6
IV.6.1
IV.6.2
IV.6.3
IV.6.4
IV.7
Etude des courants de fuite de jonction....................................................................................155
Structure et propriétés de base de la jonction pn.......................................................................................................................155
Calcul du champ électrique à la jonction ............................................................................................................................................................156
Calcul de l’étendue de la zone de charge d’espace...........................................................................................................................................157
Les courants de la jonction pn.........................................................................................................................................................157
La polarisation de la jonction..................................................................................................................................................................................157
La diode idéale : courants de dérive et de diffusion ........................................................................................................................................157
La diode non idéale....................................................................................................................................................................................................159
La modélisation des courants de la jonction polarisée en inverse.........................................................................................164
Le courant de dérive ..................................................................................................................................................................................................164
La génération Shockley Read Hall ........................................................................................................................................................................165
La génération par effet tunnel bande à bande direct.......................................................................................................................................166
La génération par effet tunnel bande à bande assisté par pièges.................................................................................................................168
La modélisation des niveaux profonds .........................................................................................................................................169
Le type de piège...........................................................................................................................................................................................................169
La distribution énergétique......................................................................................................................................................................................169
La distribution spatiale ..............................................................................................................................................................................................169
Capture et émission de porteurs............................................................................................................................................................................169
Occupation des niveaux profonds........................................................................................................................................................................170
Mesures...................................................................................................................................................................................................171
Description des structures mesurées....................................................................................................................................................................171
Description des procédés de fabrication des jonctions mesurées ..............................................................................................................172
Résultats des mesures................................................................................................................................................................................................172
Simulations électriques.......................................................................................................................................................................173
Présentation de l’outil de simulation.....................................................................................................................................................................173
Les différents modèles utilisés................................................................................................................................................................................173
Introduction des pièges caractérisés dans les modèles...................................................................................................................................174
Résultats des simulations de fuite de jonction...................................................................................................................................................174
Conclusion sur les simulations de fuites de jonction ................................................................................................................181
Conclusion : Résultat général de la thèse.................................................................................................................182
Annexes...........................................................................................................................................................................183
Annexe A : Des recuits chauds et courts ........................................................................................................................................................183
Annexe B : Caractérisations physiques ............................................................................................................................................................184
B.1
B.2
L’obtention de profils de concentration par analyse SIMS..................................................................................................................................184
L’observation des défauts étendus par microscopie électronique à transmission........................................................................................184
Annexe C : Paramètres des implanteurs..........................................................................................................................................................185
C.1
C.2
Calcul des paramètres de la commande « SetImplanterSpecs »..........................................................................................................................185
Justification des fourchettes de valeurs choisies pour les paramètres des implanteurs ..............................................................................187
Références.......................................................................................................................................................................188
7
Table des figures et tableaux
TABLE DES FIGURES ET TABLEAUX
Figure I.1. Evolution du nombre de calcul effectués par seconde et par dollar au cours du XXe siècle : la loi de Moore en
marche… Tirée de [Kurzweil01]................................................................................................................................................................................17
Figure I.2. Démarche suivie pour atteindre l’objectif de la thèse......................................................................................................................20
Figure I.1. Structure du cristal de silicium................................................................................................................................................................22
Figure I.2. Mise en évidence des sites tétragonal (a) et hexagonal (b) dans le cristal parfait de silicium........................................22
Figure I.3. Schéma d’une lacune dans la maille du silicium ................................................................................................................................22
Figure I.4. Mise en évidence du site interstitiel centré sur la liaison inter-atomique ...................................................................................23
Figure I.5. Arrangments atomiques de type interstitiel divisé, orientés <100> (a) et <110> (b)....................................................23
Figure I.6. Forme du diagramme énergétique favorisant la stabilité d'une paire de Frenkel ....................................................................24
Figure I.7. Comparaison des pouvoirs d’arrêt nucléaire et électronique pour des ions de différentes masses et en fonction de
l’énergie de ceux-ci. D’après [Papuzza03]. Le pouvoir d’arrêt électronique ne dépend pas de la masse de l’ion. ..............................26
Figure I.8. Vue du cristal de silicium dans la direction critallographique <110> : mise en exergue de canaux entre les colonnes
atomiques ..........................................................................................................................................................................................................................27
Figure I.9. Mécanisme de diffusion de la lacune : échange de site avec l’atome de silicium voisin ........................................................28
Figure I.10. Mécanisme de diffusion d’un interstitiel par sauts successifs d’un site à l’autre ....................................................................29
Figure I.11. Mécanisme de diffusion d’un interstitiel par paire avec un autre atome de silicium............................................................30
Figure I.12. photo TEM tirée de [Holland91]........................................................................................................................................................33
Figure I.13. Evolution de l’énergie de formation des petits clusters de silicium interstitiels selon leur taille D’après [Cowern99a].33
Figure I.14. (a) Projection dans la direction [1-10] du motif formant les défauts {311}, d’après Takeda et Kohyama
[Takeda91a, Kohyama92/93/95]. (b) Vue en 3 dimensions d’un défaut {311} avec les colonnes d’interstitiels et le motif de
relaxation des contraines. Image tirée de [Takeda91b]. .......................................................................................................................................35
Figure I.15. Energie de formation des défauts {311} comparée à celle des petits agrégats d’interstitiels, d’après [Claverie01].....36
Figure I.16. Structure des boucles de dislocation fautée (haut) et parfaite (bas) ; schéma tiré de [Sztucki01] .....................................37
Figure I.17. Energie de formation des boucles de dislocation en fonction de leur taille et comparaison avec les autres défauts
étendus. Figure adaptée de [Boucard03]. .................................................................................................................................................................38
Figure I.18. Mécanisme de diffusion interstitielle d’une impureté par expulsion.........................................................................................41
Figure I.19. Mécanisme de diffusion assisté par interstitiel : diffusion de la paire dopant-interstitiel ....................................................42
Figure I.20. Mécanisme de migration de la paire impureté – lacune dans le silicium (réseau projeté selon la direction <110>)..44
Figure I.21. Schéma de la probabilité de saut d’une particule chargée négativement en fonction de la direction dans l’espace
bidimensionnel : la longueur de la flèche est représentative de cette probabilité dans la direction qu’elle indique............................50
Figure I.22. Effets de charge sur la diffusion du bore dans la zone de charge d’espace : désertion du bore dans celle-ci et
accumulation de chaque côté de la jonction. ..........................................................................................................................................................51
Figure I.23. Explication schématique de la capture d’un interstitiel (a) et d’une lacune (b) par l’interface silicium cristallin –
oxyde de silicium amorphe...........................................................................................................................................................................................52
Figure I.24. Illustration schématique de carbone placé entre le profil de dopant et les défauts de fin de parcours. .........................57
Figure I.25. Résultat : le carbone substitutionnel capture les interstitiels des défauts de fin de parcours pour former des
agglomérats complexes carbone – interstitiels. ......................................................................................................................................................58
Figure I.26. Illustration schématique de fluor placé entre le profil de dopant et les défauts de fin de parcours. ...............................59
Figure I.27. Illustration schématique de fluor placé entre le profil de dopant et les défauts de fin de parcours. ...............................59
Figure II.1. Classement des différentes méthodes de simulation des procédés en fonction de la taille des systèmes et des temps
de procédés qu’elles peuvent traiter...........................................................................................................................................................................62
Figure II.2. Création d’une cascade de collision : ici, 7 interstitiels et 7 lacunes ont été créés. L’impureté en position interstitielle
est instable et créera un interstitiel supplémentaire quand il se repositionnera sur un site cristallin (voir paragraphe I.1.2.3.3) ....68
Figure II.3. Choix d’un évènement en fonction de tous ceux possibles dans la configuration du système, et incrémentation du
temps d’un pas dépendant de la fréquence de l’évènement choisi...................................................................................................................69
8
Table des figures et tableaux
Figure II.4. (a)Photo obtenue par microscopie électronique à transmission à haute-résolution montrant un défaut {311} dans
le réseau cristallin du silicium (tirée de [Stolk97]). (b) Mise en évidence des défauts présents dans la structure. (c) Ensemble
des atomes pris en compte en simulation Monte Carlo cinétique sans réseau : les défauts. .....................................................................70
Figure II.5. Cycles suivis par DADOS pour l’implantation et le recuit pour la simulation d’un procédé classique...........................72
Figure II.6. Evolution à 700°C de la probabilité de transformation d’un défaut {311} en boucle de dislocation en fonction de la
taille du défaut, pour les deux modèles de la taille de transition et du taux de transition...........................................................................76
Figure II.7. Image de défauts {311} obtenus avec DADOS (en jaune). ........................................................................................................76
Figure II.8. Image de boucles de dislocation obtenues avec DADOS (en jaune)........................................................................................77
Figure II.9. Image des cavités sphéroïdales obtenues avec DADOS (en jaune). .........................................................................................77
Figure II.10. Comparaison des résumtats obtenus sur le calibrage de l’amorphisation. Données expérimentales d’après
[Schultz91]. ........................................................................................................................................................................................................................85
Figure II.11. Représentation schématique de l’évolution d’une cascade de défauts cristallins ponctuels au cours d’un temps de
relaxation............................................................................................................................................................................................................................86
Figure II.12. Représentation schématique de la roue et sa fournée de plaques (a) et des mouvements relatifs du faisceau sur
une plaque (b). Surface totale balayée par le faisceau (c)..............................................................................................................................87
Figure II.13. Représentation schématique d’une plaque (a) et du mouvement relatif du faisceau sur la plaque (b)...................88
Figure II.14. Modes d’implantation pour les deux outils : impulsions en rafales pour l’implanteur multiplaques (a) et en
impulsions régulières pour l’implanteur monoplaque (b). ...............................................................................................................................89
Figure II.15. Pour l’implanteur multiplaques, à chaque révolution une impulsion d’implantation est effectuée sur une bande de
la plaque..............................................................................................................................................................................................................................90
Figure II.16. Profils de bore simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une implantation de BF2,
30 keV, 4x1013 cm-2, après implantation. Les profils simulés ont été décalés en profondeur de manière à ce que l’interface
silicium – oxyde se trouve à 0 nm...............................................................................................................................................................................93
Figure II.17. Profils de bore simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une implantation de BF2,
30 keV, 4x1013 cm-2, après un recuit rapide spike à 1080°C. ..............................................................................................................................94
Figure II.18. Profils de bore simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une implantation de BF2,
1 keV, 5x1014 cm-2, après implantation. ....................................................................................................................................................................95
Figure II.19. Profils de bore simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une implantation de BF2,
1 keV, 5x1014 cm-2, après un recuit rapide spike à 1080°C..................................................................................................................................95
Figure II.20. (a) Profils de bore simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une implantation de
B, 1 keV, 2x1015 cm-2, après implantation. (b) Profils de bore simulés, et comparaison avec les profils obtenus par analyse
SIMS, pour une implantation de B, 1 keV, 2x1015 cm-2, après un recuit rapide spike à 1080°C. ............................................................96
Figure II.21. (a) Profils d’arsenic simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une implantation à
50 keV, 3.7x1013 cm-2, après implantation. (b) Profils d’arsenic simulés, et comparaison avec les profils obtenus par analyse
SIMS, pour une implantation à 50 keV, 3.7x1013 cm-2, après un recuit rapide spike à 1080°C................................................................97
Figure II.22. Profils d’arsenic simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une implantation à 1
keV, 6.5x1014 cm-2, après implantation. ....................................................................................................................................................................98
Figure II.23. Profils d’arsenic simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une implantation à 1
keV, 6.5x1014 cm-2, après un recuit rapide spike à 1080°C..................................................................................................................................98
Figure II.24. Profils d’arsenic simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une implantation à 10
keV, 2x1015 cm-2, après implantation.........................................................................................................................................................................99
Figure II.25. Profils d’arsenic simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une implantation à 10
keV, 2x1015 cm-2, après un recuit rapide spike à 1080°C. ................................................................................................................................. 100
Figure II.26. Comparaisons des clichés de microscopie en transmission avec les projections en deux dimensions des
simulations atomistiques. Les superpositions d’images sont à la même échelle. On remarquera le bon accord qualitatif entre les
expériences et les simulations.................................................................................................................................................................................... 102
Figure II.27. La direction d’observation influe sur l’angle apparent des défauts {311} : l’échantillon expérimental est observé
par la direction générale <110>. Mais en simulation, la densité de défauts {311} apparaît alors non-uniforme sur la largeur de la
boîte de simulation (parallélépipède rectangle dont les faces sont des plans {100})................................................................................ 103
Figure II.28. Evolution de la profondeur moyenne des défauts étendus au cours du recuit : comparaison des observations
expérimentales et des résultats de simulation....................................................................................................................................................... 103
Figure II.29. Evolution de la densité (a) et de la longueur moyenne (b) des défauts {311} : comparaison des résultats de
simulation aux expériences. ....................................................................................................................................................................................... 104
Figure II.30. Evolution de la quantité (dose) d’interstitiels présents dans les défauts {311} et les boucles de dislocation, et de
leur quantité totale........................................................................................................................................................................................................ 105
Figure II.31. Evolution de la sursaturation d’interstitiels pendant le recuit à 850°C : comparaison des calculs expérimentaux et
des simulations. ............................................................................................................................................................................................................. 105
Figure II.32. Effet du flux moyen d’implantation et de la surface simulée sur la profondeur amorphe obtenue par simulation :
ajustement de la valeur du flux moyen pour retrouver la valeur mesurée. La profondeur amorphe expérimentale représentée
correspond à celle obtenue avec un unique flux moyen, de valeur inconnue. ........................................................................................... 106
Figure II.33. Evolution des défauts étendus à 800°C, après 10, 30, 60, 120, 300, et 900 secondes de recuit. .................................. 107
Figure II.34. Evolution des défauts étendus à 750°C, après 30, 120, et 300 secondes de recuit. ......................................................... 107
9
Table des figures et tableaux
Figure II.35. Evolution des défauts étendus à 850°C, après 30 et 60 secondes de recuit........................................................................108
Figure II.36. Evolution des défauts étendus à 900°C, après 10 et 30 secondes de recuit........................................................................108
Figure II.37. Evolution des défauts étendus à 950°C, après 30 secondes de recuit..................................................................................108
Figure II.38. Evolution de la quantité d’interstitiels contenus dans les défauts étendus pendant un recuit à 700°C après une
implantation de germanium à 30 keV et 3x1014 cm-2, et une implantation à très faible énergie et faible dose d’arsenic (a) ou de
BF2 (b). .........................................................................................................................................................................................................................109
Figure II.39. Comparaison des images au microscope et des images simulées des défauts étendus après un recuit d’une heure à
700°C : présence de {311} uniquement.................................................................................................................................................................110
Figure II.40. Evolution de la quantité d’interstitiels contenus dans les défauts étendus pendant un recuit à 900°C après une
implantation de germanium à 30 keV et 3x1014 cm-2, et une implantation à très faible énergie et faible dose d’arsenic (a) ou de
BF2 (b). .........................................................................................................................................................................................................................110
Figure II.41. Comparaison des images au microscope et des images simulées des défauts étendus après un recuit d’une heure à
700°C : présence de boucles de dislocation uniquement. .................................................................................................................................110
Figure II.42. Evolution des défauts étendus après une implantation amorphisante, en l’absence (gauche) et en présence
(droite) de bore. Photographies du microscope électronique à transmission tirées de [CamilloCastillo04]. ...........................111
Figure II.43. Comparaison quantitative de l’évolution des densités expérimentale et simulée de défauts étendus au cours du
recuit, sans et avec une implantation de bore dans la couche amorphe. Données expérimentales d’après [CamilloCastillo04]. 112
Figure II.44. Interstitiels contenus dans les défauts étendus et – le cas échéant – les agglomérats de bore : comparaison des
profils de concentration sans ou en présence de bore implanté. ....................................................................................................................113
Figure II.45. Evolution des fuites de jonction avec la température d’un recuit rapide de type spike...................................................113
Figure II.46. Résultats de simulation montrant une dissolution moins efficace des défauts étendus avec une température de
recuit spike plus basse..................................................................................................................................................................................................114
Figure II.47. Description des structures simplifiées utilisées pour nos simulations..................................................................................116
Figure II.48. Evolution des profils carrés de bore après recuit avec différentes quantités d’interstitiels introduits dans la région
de fin de parcours. ........................................................................................................................................................................................................117
Figure II.49. Illustration schématique de la variation de la quantité d’interstitiels en excès par une variation de la profondeur
amorphe. Les interstitiels en excès de la couche amorphe « disparaissent » au cours de la recristallisation.......................................118
Figure II.50. Description de la seconde structure utilisée pour nos simulations, cette fois plus proche des conditions
expérimentales. ..............................................................................................................................................................................................................118
Figure II.51. Profils de bore aggloméré après recuit pour deux profondeurs amorphes différentes...................................................119
Figure II.52. Profondeur amorphe en foncton du flux moyen d’implantation. Données expérimentales de [Cagnat06].............119
Figure II.53. Nombre de boucles de dislocations observé (représentatif de la quantité d’interstitiels) en fonction de la
profondeur amorphe. Données expérimentales publiées dans [Cagnat06].................................................................................................120
Figure II.54. (a) Profils expérimentaux (SIMS) du bore après le recuit spike pour deux différents flux moyens d’implantation,
d’après [Cagnat06]. (b) Grossissement sur les deux bosses. .........................................................................................................................120
Figure II.55. Doses de bore dans chaque bosse et leur somme en fonction de la profondeur amorphe...........................................121
Figure II.56. Zoom des profils SIMS de la Figure II.54 sur la région autour des défauts de fin de parcours...................................122
Figure II.57. (a) Effet de la vitesse de balayage de la roue de l’implanteur multiplaques sur l’accumulation de défauts au cours
de l’implantation. (b) Effet de la vitesse de rotation de la roue sur l’accumulation de défauts au cours de l’implantation........124
Figure II.58. Effet du diamètre du faisceau de l’implanteur multiplaques sur l’accumulation de défauts au cours de
l’implantation..................................................................................................................................................................................................................125
Figure II.59. (a) Effet de la vitesse de balayage de l’implanteur monoplaque sur l’accumulation de défauts au cours de
l’implantation. (b) Effet de la largeur du faisceau de l’implanteur monoplaque sur l’accumulation de défauts. ...........................125
Figure II.60. Evolution du flux d’implantation instantané avec le diamètre du faisceau de l’implanteur multiplaques..................126
Figure II.61. Evolution du flux d’implantation instantané avec le la vitesse de balayage (a) et la vitesse de rotation de la roue
(b) de l’implanteur multiplaques............................................................................................................................................................................126
Figure II.62. Effet de la largeur du faisceau (a) et de la vitesse de balayage (b) de l’implanteur monoplaque sur le flux
instantané d’implantation............................................................................................................................................................................................127
Figure II.63. Endommagement du silicium en fonction du flux instantané d’implantation pour les outils multiplaques (a) et
monoplaque (b)..........................................................................................................................................................................................................127
Figure II.64. Histogramme de composition des poches amorphes pour l’implantation de 10 cascades (a) ou de 50 cascades
(b) de germanium. Les grosses poches amorphes ne sont formées que par la superposition des cascades...................................128
Figure II.65. Quantité normalisée d’interstitiels présents dans les poches amoprhes en fonction du temps de relaxation à
température ambiante, pour différentes densités initiales de défauts. ...........................................................................................................128
Figure II.66. La comparaison avec la Figure II.64 montre que contrairement aux petites poches amorphes, les plus grosses
d’entre elles n’ont pas subi de recombinaisons après une seconde à température ambiante..................................................................129
Figure II.67. Avec un flux instantané d’implantation plus faible, il y a à la fin de l’impulsion moins de poches amorphes
contenant à la fois des interstitiels et des lacunes : la cause en est la plus grande efficacité de recombinaison avec un flux
instantané plus faible....................................................................................................................................................................................................129
Figure II.68. Structure simplifiée utilisée pour les premières simulations montrant la couche amorphe et les profils de
concentration en créneau de bore et d’interstitiels. La position et la concentration des interstitiels sont variables.........................131
10
Table des figures et tableaux
Figure II.69. Exemple de profils de bore après des recuits de 0.5 et 2 s à 1000°C. Veuillez noter l’effet significatif de
l’augmentation du budget thermique quand les interstitiels sont placés à 70 nm de profondeur, tandis que quasiment aucun
effet n’est observé quand les interstitiels sont à 10 nm de la surface, très proches du profil initial de bore. Les profils initiaux de
bore et d’interstitiels sont également tracés pour rappel................................................................................................................................... 132
Figure II.70. Augmentation de la profondeur de jonction Xj due à l’augmentation du budget thermique en fonction de la
concentration (a) et de la position (b) initiales des interstitiels. Xj ne subit plus l’influence de l’augmentation du budget
thermique quand les interstitiels sont proches du bore ou en grande quantité.......................................................................................... 133
Figure II.71. Comparaison des rampes de température des recuits à base de lampe et à conduction thermique (ici pour une
température de 1100°C). ............................................................................................................................................................................................ 133
Figure II.72. Comparaison de l’activation du bore entre les recuit lampe et Levitor : évolution de la dose de bore actif avec le
cycle de température, sans (a) et avec (b) préamorphisation au germanium (80 keV). .................................................................... 134
Figure II.73. Augmentation de la profondeur de jonction Xj due à l’augmentation du budget thermique en fonction de la
concentration (a) et de la position (b) initiales des interstitiels, pour une température de recuit de 1080°C. Les tendances
observées sont les mêmes qu’à 1000°C. ................................................................................................................................................................ 134
Figure II.74. Résultats de simulations donnant le compromis R+/Xj de la jonction étudiée. Aucune amélioration n’est obtenue
avec le recuit Levitor (a), à moins de préamorphiser le subsrtat (b)...................................................................................................... 135
Figure II.75. Résultats expérimentaux montrant l’amélioration du compromis Rs/Xj avec le Levitor uniquement si il est associé
à une préamorphisation.............................................................................................................................................................................................. 135
Figure II.76. Evolution en température de la dose de bore actif pendant le cycle de température du recuit rapide de type lampe
à 1060°C. (a) La recristallisation se complète à différentes températures selon la profondeur amorphe initiale. (b) Si le profil de
bore n’est pas entièrement contenu dans la couche amorphe, la dose active après recristallisation n’est pas maximale. (c)
Désactivation du bore pendant la suite de la rampe de montée. (d) Atteinte de l’équilibre et réactivation du bore pendant la fin
de la rampe de montée. (e) Réactivation à la température maximale. (f) Implantations préamorphisantes à haute énergie : la
désactivation du bore ne rejoint pas l’équilibre avant que la température maximale ne soit atteinte................................................... 136
Figure II.77. Comparaison de la désactivation et de la réactivation du bore pendant le cycle de température du Levitor ou du
recuit à base de lampes, pour une température maximale atteinte de 1060°C. Avec le Levitor l’équilibre n’est pas atteint pendant
la rampe de montée mais plutôt à la température maximale, que ce soit à basse (a) ou à haute (b) énergie de
préamorphisation.......................................................................................................................................................................................................... 137
Figure II.78. (a) Amorphisation des zones de source / drain de MOSFET après implantation, en technologie CMOS 45 nm.
(b) Observation de défauts de recristallisation après recuit : des défauts typiquement non simulables avec DADOS… (c) et
(d) Détails de ces défauts........................................................................................................................................................................................ 138
Figure III.1. Description schématique des interactions possibles entre un niveau profond et les porteurs libres. Les flèchent
représentent les mouvements des électrons. (a) Capture d’un électron (i). (b) Emission d’un électron (ii). (c) Capture d’un
trou (iii). (d) Emission d’un trou (iv). .................................................................................................................................................................. 141
Figure III.2. Représentation schématique de la jonction n+p sous polarisation inverse, avec ses niveaux profonds occupés par
des électrons................................................................................................................................................................................................................... 144
Figure III.3. Représentation schématique de la jonction n+p pendant l’impulsion de tension. Les flèches représentent les
mouvements des électrons......................................................................................................................................................................................... 145
Figure III.4. Représentation schématique de la jonction n+p pendant son retour à l’état initial, juste après l’impulsion de tension.
Les électrons de la bande de valence viennent à nouveau, au cours d’un régime transitoire, peupler le niveau profond. Les
flèches représentent les mouvements des électrons........................................................................................................................................... 145
Figure III.5. Illustration de l’obtention d’un maximum du signal pour une température donnée. La figure de gauche montre la
variation de la capacité (transitoire) alors que les niveaux émettent des porteurs, pour différentes températures. La mesure de
capacité est effctuée aux temps t1 et t2. La courbe de droite montre le signal DLTS résultant en fonction de la température.
Figure tirée de [Lang74].............................................................................................................................................................................................. 146
Figure III.6. Profils de concentration de l’arsenic et du bore obtenus par analyse SIMS pour caractériser la jonction étudiée en
DLTS. .............................................................................................................................................................................................................................. 148
Figure III.7. Spectre DLTS obtenu expérimentalement pour huit valeurs différentes de ep................................................................. 149
Figure III.8. La courbe ln(ep/T2) = f(1/T) (symboles) a été approchée par une droite (trait continu), dont l’équation est
indiquée sur la figure.................................................................................................................................................................................................... 149
Figure III.9. Comparaison du spectre DLTS obtenu par calcul avec le spectre mesuré expérimentalement................................... 150
Figure III.10. Effet de la répartition énergétique en gaussienne sur le signal DLTS : étalement de la courbe. ................................ 152
Figure III.11. Répartition énergétique en gaussienne des niveaux profonds identifiés par DLTS dans la bande interdite du
silicium............................................................................................................................................................................................................................. 152
Figure III.12. (a) Projection « en coupe latérale » des défauts restant après le recuit spike sur nos échantillons, d’après les
simulations. Les points bleus représentent les atomes de dopants, et les boucles de dislocation sont visibles en rouge – orangé.
(b) Localisation en profondeur des boucles de dislocation par rapport aux profils de dopants obtenus par analyses SIMS... 153
Figure III.13. Les photos en vue plane ne révèlent pas de défauts étendus dans l’échatillon analysé en microscopie électronique
à transmission. ............................................................................................................................................................................................................... 153
Figure IV.1. (a) Représentation schématique d’une zone de charge d’espace et des flux équilibrés de porteurs provoqués par
les gradients de concentration et le champ électrique. (b) Structure de bande d’une jonction pn.................................................... 156
Figure IV.2. Structure de bande et courants de dérive et de diffusion pour trois états de la jonction. ............................................... 159
11
Table des figures et tableaux
Figure IV.3. Caractéristique de la jonction pn en polarisation directe...........................................................................................................160
Figure IV.4. Diagramme de bandes montrant schématiquement l’émission SRH d’un électron d’un niveau profond et son
accélération par le champ électrique de la jonction.............................................................................................................................................161
Figure IV.5. Illustration de la génération tunnel bande à bande direct dans le cas d’une jonction peu abrupte (a) ou très
abrupte (b)...................................................................................................................................................................................................................162
Figure IV.6. Illustration schématique de l’effet tunnel assisté par piège : la paire électron – trou peut être générée sous l’effet du
champ électrique (a) ou par effet thermique (b). .........................................................................................................................................163
Figure IV.7. Représentation schématique de la génération de porteurs par ionisation par impact. .....................................................163
Figure IV.8. Schéma et photographies de microscope électronique à balayage de structures Miller larges (a) et étroites (b).172
Figure IV.9. Courant de fuite (mesuré sortant du substrat) de la jonction polarisée en inverse (le drain n+ est polarisé
positivement, et le substrat p est à la masse), sur une structure Miller de type nMOS : influence de la co-implantation..............173
Figure IV.10. Comparaison des profils de concentration de bore et d’arsenic obtenus par SIMS et par simulation continue, sans
co-implantation (référence)........................................................................................................................................................................................175
Figure IV.11. Comparaison des profils de concentration de bore et d’arsenic obtenus par SIMS et par simulation continue, avec
une co-implantation de fluor à 15 keV, sans inclinaison...................................................................................................................................175
Figure IV.12. Résultats de simulations de dopage avec les simulations continues de SProcess : (a) référence, sans coimplantation ; (b) avec fluor 15 keV, sans inclinaison ; (c) avec fluor, 15 keV, inclinaison 25° ; (d) avec fluor, 20 keV,
inclinaison 25°. La co-implantation de fluor a pour effet général de limiter la profondeur de jonction des extensions, et
d’augmenter la diffusion du phosphore dans le fond des source et drain (zone de fin de parcours du fluor)..................................176
Figure IV.13. Structure obtenue par simulation atomistique...........................................................................................................................176
Figure IV.14. (a) Sans co-implantation (référence), il ne reste pas de défauts étendus après le recuit d’activation. (b) Quand
une co-implantation de fluor est effectuée (15 keV, 1x1015 cm-2, pas d’inclinaison), subsistent en revanche des boucles de
dislocations. ....................................................................................................................................................................................................................177
Figure IV.15. Boucles de dislocation subsistant après le recuit, pour une co-implantation de fluor à 15 keV sans inclinaison
(a) et avec une inclinaison de 25°, en quatre fois (b)...................................................................................................................................177
Figure IV.16. Boucles de dislocation subsistant après le recuit, pour une co-implantation de fluor à 15 keV (a) et à 20 keV
(b), inclinées. ...............................................................................................................................................................................................................178
Figure IV.17. Comparaison des courants de fuite de jonction obtenues avec trois modèles différents : le modèle SRH, le
modèle définissant explicitement des pièges « classiques », et le modèle de pièges utilisant les caractéristiques déterminées par
DLTS................................................................................................................................................................................................................................179
Figure IV.18. Réprésentation des six localisations choisies pour les niveaux profonds...........................................................................179
Figure IV.19. Effet de la localisation des niveax profonds sur les courants de fuite de jonction..........................................................180
Figure IV.20. Effet de la concentration de pièges sur le niveau de fuite de la jonction...........................................................................180
Figure IV.21. Effet de la « symétrie » des niveaux profonds introduits par les boucles de dislocation...............................................181
Tableau I.1. Fraction de la diffusion assistée par interstitiels pour les cinq principaux dopants .............................................................45
Tableau II.1. Temps de calculs pour une simulation typique avec différents processeurs........................................................................91
Tableau II.2. Valeurs de référence et variations utilisées pour les paramètres de l’implanteur multiplaques.....................................123
Tableau II.3. Valeurs de référence et variations utilisées pour les paramètres de l’implanteur monoplaque.....................................123
Tableau III.1. Paramètres utilisés pour l’ajustement des modèles de courbes DLTS...............................................................................151
Tableau III.2. Caractéristiques des niveaux profonds associés aux boucles de dislocation analysés par DLTS...............................154
12
Glossaire et abréviations
GLOSSAIRE ET ABREVIATIONS
3
{311}
Défaut étendu d’interstitiels situé sur un plan {311} du cristal de silicium.
A
Ai
AI
aSi
AV
Impureté A en position interstitielle dans le réseau.
Paire impureté A – interstitiel.
Paramètre de maille du silicium (5.43 Å).
Paire impureté A – lacune.
B
BCA
BIC
Binary Collision Approximation : approximation de la collision binaire.
Boron – Interstitials Cluster : agglomérat mixte de bore et d’interstitiels.
C
Cjc°
CMOS
cn
cp
CPU
Capacité de jonction.
Complementary Metal Oxide Semiconductor : Technologie complémentaire métal oxyde
semiconducteur.
Taux de capture d’électrons par un niveau profond.
Taux de capture de trous par un niveau profond.
Central Process Unit : Unité centrale de traitement.
D
D(X)
Deff(X)
DADOS
dhkl
DLTS
Dn (Dp)
Coefficient de diffusion du défaut X.
Coefficient de diffusion effectif du défaut X (prenant éventuellement en compte
plusieurs mécanismes).
Diffusion of Atomistic Defects, Object-oriented Simulator : Simulateur orienté objet de la
diffusion des défauts atomiques.
Distance entre deux plans (hkl).
Deep Level Transient Spectroscopy : Spectroscopie des transitoires des niveaux profonds.
Coefficient de diffusion des électrons (des trous).
E
eEa
Electron.
Energie d’activation.
13
Glossaire et abréviations
EC
Bas de la bande de conduction.
Champ électrique.
Eélec
eF
Niveau de Fermi.
Energie de formation.
Ef
Eg
Largeur de la bande interdite.
Niveau de Fermi intrinsèque.
Ei
El
Energie de liaison.
Energie de migration.
Em
Emeff
Energie de migration effective (prenant éventuellement en compte plusieurs
mécanismes).
en
Taux d’émission d’électrons par un niveau profond.
EoR
End of Range : région de fin de parcours des ions implantés, désignant souvent la
région située juste derrière l’interface amorphe – cristal.
Taux d’émission de trous par un niveau profond.
ep
EP
Niveau énergétique d’un niveau profond.
εSi
Permittivité diélectrique du silicium.
EV
Haut de la bande de valence.
F
F
fI
FI
FIinst
FImoy
fV
Probabilité d’occupation de niveaux profonds.
Fraction de la diffusion d’une impureté due aux paires impureté – interstitiel.
Flux d’implantation.
Flux instantané d’implantation.
Flux moyen d’implantation.
Fraction de la diffusion d’une impureté due aux paires impureté – lacune.
G
GHz
Go
Gigahertz.
Gigaoctet.
H
h
h
h+
Constante de Planck (6.62x10-34 m2.kg.s-1).
Constante de Planck réduite (h/2π).
Trou.
I
I
Interstitel.
J
J
Densité de courant.
K
k
kMC
L, λ
14
Constante de Boltzmann (1.38x10-23 eV.K-1).
kinetic Monte Carlo : Monte Carlo cinétique.
Glossaire et abréviations
λ
LASER
Ln (Lp)
Lr
Distance au second voisin dans le cristal de silicium.
Light Amplification by Stimulated Emission of Radiation : Amplification de la lumière
par émission stimulée de rayonnement. Par abus de langage, type de recuit chaud et
ultra rapide utilisant la lumière LASER.
Longueur de diffusion des électrons (des trous).
Longueur de recombinaison.
M
Marlowe
m*n (m*p)
Mo
MOS
MOSFET
Code Monte Carlo de simulation d’implantation ionique utilisant l’approximation de
la collision binaire.
Masse effective des électrons (des trous).
Mégaoctet.
Metal Oxide Semiconductor : métal oxyde semiconducteur.
Metal Oxide Semiconductor Field Effect Transistor : Transistor MOS à effet de champ.
N, ν
n
ν
Na
Nd
Ndop
ni
nMOSFET
NP
Concentration d’électrons. Par extension : type de dopage d’une région contenant
plus d’électrons que de trous ; type d’un dopant donneur.
Fréquence d’un évènement.
Concentration d’accepteurs.
Concentration de donneurs.
Dopage net (Na – Nd).
Concentration intrinsèque de porteurs.
MOSFET de type n.
Concentration de pièges, ou de niveaux profonds.
P
p
PIC
pMOSFET
Concentration de trous. Par extension : type de dopage d’une région contenant plus
de trous que d’électrons ; type d’un dopant accepteur.
Phosphorus – Interstitials Cluster : agglomérat mixte de phosphore et d’interstitiels.
MOSFET de type p.
Q
q
Charge élementaire (1.6x1019 C).
R
r
RBS
RBSC
Rp
Rs
Rayon de Debye.
Rutherford Backscattering : Effet de collision de retour Rutherford.
RBS channelling : Effets de canalisation et de collision de retour Rutherford.
Projected Range : Distance parcourue projetée.
Résistance par carré, ou résistance de couche.
S, σ
σ
SDevice
σgauss
Section efficace de capture.
Sentaurus Device : Simulateur de dispositifs de la société Synopsys.
Ecart – type d’une fonction gaussienne.
15
Glossaire et abréviations
SIMS
Secondary Ion Mass Spectroscopy : Spectroscopie de masse des ions secondaires.
SPER
Solid Phase Epitaxial Regrowth : Recroissance épitaxiale en phase solide.
spike
Recuit rapide sans plateau à la température maximale (recuit en « pointe » de
température).
SProcess
Sentaurus Process : Simulateur de procédés technologiques de la société Synopsys.
SRH
Phénomènes de génération et recombinaison Shockley – Read – Hall.
T, τ
T
TBBAP
TBBD
TCAD
TED
τn (τp)
Température.
Phénomène de génération de porteurs par effet tunnel bande à bande assisté par
pièges.
Phénomène de génération de porteurs par effet tunnel bande à bande direct.
Technology Computer – Assisted Design : Conception de technologie assistée par
ordinateur.
Transient Enhanced Diffusion : diffusion accélérée et transitoire.
Durée de vie des électrons (des trous).
U
UVAS
University of Valladolid Atomistic Simulator : Simulateur atomistique de l’Université de
Valladolid.
V
V
Va
Vi
Vrecrist
vth.n (vth.p)
Lacune.
Tension appliquée.
Potentiel intrinsèque de la jonction.
Vitesse de recristallisation.
Vitesse thermique des électrons (des trous).
W
Wn
Wp
WZCE
Etendue de la zone de charge d’espace du côté n de la jonction.
Etendue de la zone de charge d’espace du côté p de la jonction.
Etendue totale de la zone de charge d’espace.
X
Xj
16
Profondeur de jonction.
Introduction
INTRODUCTION
Des performances et des fuites
A l’introduction de ce manuscrit de thèse, je ne manquerai pas de parler de la loi de Moore. La réduction
de la taille des composants des circuits intégrés est à l’origine de la poussée fulgurante de ces technologies
au cours du demi-siècle passé, de leur apparition dans nos vies quotidiennes, et de leur démocratisation.
Si l’on considère les processeurs, qui sont les plus complexes et certainement les plus répandus des
circuits intégrés (hors mémoires), leur puissance de calcul augmente sans cesse, tandis que leur coût de
fabrication par composant élémentaire diminue. C’est ce que montre la Figure I.1.
Figure I.1. Evolution du nombre de calcul effectués par seconde et par dollar au cours du XXe siècle : la loi de
Moore en marche… Tirée de [Kurzweil01].
Suivant la marche indiquée par Gordon Moore, les circuits intégrés deviennent toujours moins chers (à
fonction égale, sans quoi c’est faux !), plus performants (c'est-à-dire plus rapides), et plus petits. Ces
points fondamentaux sont en grande partie due à la réduction des dimensions de l’élément de base de ces
circuits intégrés, le transistor MOSFET. Cette miniaturisation a longtemps participé à l’augmentation de
leurs propres performances, mais permet encore et surtout d’améliorer leur intégration : sur une même
puce, on est capable de placer toujours plus de fonctions, sans pour autant augmenter – voire en
diminuant – la taille des puces. Cette « super-intégration » nous a rendus capables d’intégrer de
nombreuses fonctions sur des appareils d’abord transportables, et aujourd’hui mobiles. Mais qui dit
17
Introduction
appareil mobile dit aussi source d’énergie mobile, et de fait limitée. Pour favoriser cette mobilité des
systèmes, il est donc nécessaire de réduire la consommation des circuits électroniques, et donc celle du
composant de base : le transistor MOSFET. Si la consommation du transistor à l’état passant dépend
surtout de la tension d’alimentation et de la performance souhaitée, sa consommation à l’état bloqué
devrait être réduite au maximum.
De l’importance des jonctions
Les fuites du transistor MOSFET ont trois composantes : le courant de source, le courant de grille, et le
courant de substrat.
Le courant de source représente la partie la plus faible des trois. Un meilleur contrôle électrostatique du
canal permet de réduire les effets de canaux courts, et donc le courant de source en régime bloqué du
transistor : des jonctions fines et abruptes servent cet objectif.
Le courant de grille est dû à l’effet tunnel, par lequel les porteurs de charge passent à travers l’oxyde de
grille quand il est trop fin. Les matériaux à haute permittivité électrique (high-k) sont une des solutions
mises en place pour diminuer cette composante de la fuite, puisque qu’ils permettent d’augmenter
l’épaisseur physique du diélectrique tout en augmentant la capacité MOS – et donc en améliorant le
contrôle du transistor.
Quand au courant de substrat, il est dû à la fuite des jonctions du transistor, et représentait pour le nœud
technologique 65 nm près de la moitié des fuites du dispositif. Le comportement de la jonction a donc
une influence primordiale sur la fuite du transistor, et partant sur celle du circuit. Mais elle est aussi au
cœur des caractéristiques générales des dispositifs.
La figure de mérite classiquement associée aux jonctions est le rapport résistance de couche /
profondeur de jonction (Rs/Xj). Une faible résistance permet d’obtenir un fort courant du transistor en
régime passant, c'est-à-dire une meilleure performance. Une faible profondeur de jonction est par ailleurs
capitale pour la miniaturisation des dispositifs, en particulier pour obtenir une tension de seuil et donc un
contrôle du courant acceptables.
Mais un troisième élément est devenu incontournable pour l’optimisation des jonctions, en partie à cause
du développement des systèmes embarqués : la fuite de jonction, qui influence fortement la
consommation finale du circuit, se doit aujourd’hui d’être prise en compte.
En présence de défauts cristallins d’implantation, l’activation des dopants, responsable de la valeur de la
résistance de couche, est réduite, tandis que leur diffusion se voit accélérée ; leur agglomération provoque
ensuite des fuites supplémentaires au sein de la jonction. On le voit, l’évolution des défauts
d’implantation pendant les procédés technologiques, et leur présence ou non à la fin de la fabrication,
sont la clef de voûte de la formation et du comportement final des jonctions fines.
C’est donc autour de ces défauts cristallins que sera construit ce mémoire sur l’étude des jonctions fines.
18
Introduction
La simulation : un outil d’analyse et de prospection
L’observation de la nature par l’Homme a toujours donné naissance à une théorie, qui est la description
des lois régissant les phénomènes observés. La modélisation est ensuite la traduction en termes
mathématiques de ces lois : c’est donc la base de toute simulation, car ce sont ces équations que la
machine de calcul saura comprendre. Si l’expérience est une « expérimentation » sur un objet réel, alors la
simulation est, elle, une « expérimentation » sur un objet virtuel, en l’occurrence le modèle, souvent le
plus représentatif possible de l’objet réel qu’il représente.
Bien sûr, les expériences ne seront jamais remplacées par la simulation. Les théories, et a fortiori les
modèles, ont besoin d’être confrontés aux expériences pour être validés, ou invalidés. Dans ce dernier
cas, une calibration par l’introduction et le réglage de paramètres plus ou moins artificiels s’impose, signe
que quelque chose est mal pris en compte dans le modèle. D’autres expériences sont alors nécessaires
pour améliorer nos connaissances scientifiques.
Mais les simulations ont la capacité de guider dans le choix des expériences, en ciblant les pistes à suivre
les plus pertinentes pour un problème donné. Les coûts et temps de développement s’en trouvent ainsi
réduits. D’autre part, les simulations ont l’avantage de mettre à disposition toutes les informations
qu’elles génèrent, en tout point de la structure et à tout moment du procédé : elles peuvent donc
remplacer les mesures là où celles-ci sont impossibles à réaliser.
L’objectif de ce travail de thèse et la démarche suivie
L’objectif de ce travail est de simuler les courants de fuites de jonction en fonction des procédés de
fabrication utilisés. Les modèles choisis pour simuler les fuites de jonction nécessitent de prendre en
compte non seulement les profils de dopants (formation de la jonction proprement dite), mais aussi les
caractéristiques des défauts responsables de la fuite de jonction, que ce soir leur localisation, leur
concentration, ou leurs caractéristiques électro-énergétiques :
- d’abord, les profils de dopants seront obtenus par simulation, atomistique ou continue ;
- la nature des défauts étendus, leur position dans la jonction, et leur densité seront fournies par la
simulation atomistique ;
- les caractéristiques des pièges générés par les défauts d’implantation seront enfin obtenues par analyse
spectroscopique transitoire des niveaux profonds (DLTS).
En suivant toutes ces étapes, nous devrions donc être capables de prédire la fuite de la jonction : en
simulant d’abord l’évolution des dopants et des défauts au cours du procédé de fabrication
(implantations, recuits, et autres budgets thermiques), puis la réponse électrique de la jonction ainsi
réalisée.
19
Introduction
simulation atomistique
DEFAUTS
génération, agglomération,
croissance, dissolution
simulation continue
DOPANTS
diffusion, activation
DLTS
caractéristiques électroénergétiques des défauts
d’implantation
fuite de jonction
3 mécanismes potentiels, dont 2 dus aux défauts
Figure I.2. Démarche suivie pour atteindre l’objectif de la thèse.
Nous rappellerons dans un premier chapitre les mécanismes atomistiques – connus à ce jour – de la
diffusion des impuretés, et de l’activation des dopants. Nous aurons soin de ne pas omettre la description
du comportement des défauts cristallins, et leur rôle – fondamental – vis-à-vis du comportement des
impuretés.
Le deuxième chapitre commencera par une introduction rapide à la simulation atomistique. Suivra la
présentation du logiciel de simulation utilisé, puis nous poursiuvrons par les résultats obtenus grâce aux
simulations. L’accent sera porté sur la compréhension des phénomènes physiques actifs durant les
procédés de fabrication des jonctions, du rôle des défauts en général et des paramètres des différentes
étapes de fabrication en particulier.
Dans le troisième chapitre, nous nous pencherons sur l’analyse par spectroscopie des transitoires des
niveaux profonds, introduits dans la bande interdite du silicium entre autres par les défauts
d’implantation – encore présents après les étapes de fabrication.
La quatrième et dernier chapitre sera enfin consacré à la simulation électrique des fuites de jonction dans
le transistor ; simulations dans lesquelles nous prendrons en compte la présence éventuelle de défauts
d’implantation, ainsi que leurs caractéristiques obtenues au chapitre précédent.
20
Chapitre I
Physique des défauts et de la diffusion
Chapitre I.
PHYSIQUE DES DEFAUTS ET DE LA DIFFUSION
De l’implantation ionique au recuit, nous allons tenter ici de donner les clefs nécessaires à la
compréhension des mécanismes atomistiques régissant l’évolution des défauts cristallins et des impuretés
dans le silicium. Les défauts cristallins ponctuels, interstitiels et lacunes, se forment et se recombinent,
diffusent, s’agglomèrent et se séparent… Et au cours de cette évolution perpétuelle, ils entraînent les
impuretés, et influencent, sinon déterminent, le comportement de celles-ci. Les caractéristiques
électriques de la future jonction en seront grandement affectées ; c’est pourquoi il est si important de
connaître ces phénomènes, qui entrent en jeu au cours de la fabrication des jonctions.
I.1 L’évolution des défauts cristallins
I.1.1
La structure du cristal de silicium
La structure cristallographique du silicium est la même que celle du diamant [Sze07]. Elle est constituée
par une maille de type cubique à faces centrées (cfc), dont le motif est composé de deux atomes de
silicium, alignés dans la direction <111> (Figure I.1). A température ambiante, la longueur de maille aSi
du cristal de silicium est égale à 5.43 Å, et la distance d’un atome à son premier voisin (longueur de la
liaison covalente) est égale à a Si ⋅ 3 / 4 soit 2.35 Å.
Ainsi chaque atome de silicium se trouve au centre d’un tétraèdre dont les quatre sommets sont quatre
atomes de silicium.
atome de silicium coïncidant avec un nœud de la maille
liaison entre deux atomes de silicium
aSi
21
Chapitre I
Physique des défauts et de la diffusion
Figure I.1. Structure du cristal de silicium
Dans ce réseau, on dira d’une particule qu’elle est en site (ou position) substitutionnel(le) quand elle
occupera la position d’un des atomes du cristal parfait. On parlera de site interstitiel pour toute autre
position dans le cristal. Au sein de cette dernière catégorie, on distinguera les sites tétragonal et hexagonal
[Pichler04], décrits sur la Figure I.2 (respectivement (a) et (b)).
(a)
(b)
Figure I.2. Mise en évidence des sites tétragonal (a) et hexagonal (b) dans le cristal parfait de silicium
I.1.2
Lacunes et interstitiels
I.1.2.1
Définition
Une lacune est une absence d’atome de silicium sur un
site du cristal parfait. De temps à autre, principalement
dans les équations de réactions, nous utiliserons
V
l’abréviation commune V, tirée de l’anglais vacancy,
pour désigner ce défaut. Le schéma de la Figure I.3
montre une lacune dans un cristal de silicium.
Un interstitiel est un atome de silicium supplémentaire,
positionné hors d’un site du cristal parfait. C’est par
22
Figure I.3. Schéma d’une lacune dans la maille du
silicium
Chapitre I
Physique des défauts et de la diffusion
abus de langage que nous utiliserons souvent le terme interstitiel, pour parler de l’auto-interstitiel de
silicium. Parfois nous utiliserons également l’abréviation I pour le désigner.
Nous allons à présent décrire quelques configurations atomiques stables de l’interstitiel. Dans le cristal de
silicium, plusieurs sites ont en effet été identifiés comme privilégiés pour accueillir un interstitiel.
- Intuitivement, on peut tout d’abord considérer les sites offrant le plus d’espace entre les atomes
(donc à faible densité électronique), comme les sites tétragonal et hexagonal déjà mis en exergue dans
le paragraphe I.1.1 (Figure I.2).
- Par ailleurs, un autre site a été révélé et étudié par des calculs théoriques ab-initio ; il est placé entre
deux atomes du réseau et centré sur la liaison initiale entre ceux-ci (Figure I.4).
- Enfin une configuration plus originale semble particulièrement stable : la configuration interstitielle
divisée. Dans ce schéma, deux atomes de silicium se partagent un site du réseau (Figure I.5), alignés
dans une direction cristallographique précise (<100> ou <110>).
[001]
[110]
[0-10]
(a)
Figure I.4. Mise en évidence du site interstitiel
centré sur la liaison inter-atomique
I.1.2.2
[100]
(b)
Figure I.5. Arrangments atomiques de type interstitiel divisé,
orientés <100> (a) et <110> (b)
Recombinaison et paires de Frenkel
La réaction de recombinaison d’un interstitiel et d’une lacune conduit à l’annihilation de ceux-ci, pour ne
laisser derrière eux que le cristal parfait :
I+V → 0
Eq. I.1
où 0 désigne l’absence de défauts. Cette réaction est aussi appelée recombinaison en volume. La
lacune étant un site du réseau cristallin vide, l’atome de silicium retrouve alors sa place sur le réseau.
La réaction inverse est la génération thermique de paires de Frenkel :
0 →I+V.
Eq. I.2
Pour toute température supérieure à 0 K, ces deux réactions ont lieu continuellement. Ainsi la notion
d’équilibre thermodynamique doit être perçue comme un équilibre entre ces deux réactions, qui sont sans
cesse en concurrence :
I+V ↔ 0 .
Eq. I.3
Ici encore, l’analogie entre interstitiel-lacune et électron-trou est digne d’être notée.
Une paire de Frenkel désigne une paire de défauts (un interstitiel et une lacune) liés [Pichler04]. Pour
assurer un minimum de stabilité à la paire de Frenkel, il faut qu’il y ait à la fois une attraction à « longue
distance », pour éviter un éloignement trop important des deux défauts, et une répulsion à « courte
23
Chapitre I
Physique des défauts et de la diffusion
distance », pour qu’ils ne se recombinent pas instantanément. La paire de Frenkel se situe donc à un
minimum local d’énergie, entre deux barrières énergétiques à franchir pour la recombinaison d’une part,
et pour la séparation d’autre part. Le diagramme énergétique correspondant à ces conditions présente la
forme suivante (Figure I.6).
Eb-S
énergie
Eb-R
Eb-R : barrière
énergétique à la
recombinaison
Eb-S : barrière
énergétique à la
séparation
état des défauts
cristal parfait
paire de
Frenkel
défauts séparés
Figure I.6. Forme du diagramme énergétique favorisant la stabilité d'une paire de Frenkel
On utilise également l’expression « paire de Frenkel » pour désigner une paire interstitiel – lacune non
liée. En ce sens, l’introduction de défauts par implantation ionique se fait sous forme de paires de
Frenkel, comme nous allons le voir plus en détail dans les paragraphes suivants.
I.1.2.3
Origine des lacunes et interstitiels
I.1.2.3.1 Concentration à l’équilibre thermodynamique
Ainsi qu’il a été dit précédemment, les réactions de génération et recombinaison des défauts, interstitiels
et lacunes, se produisent sans cesse dans le cristal. Pour toute température supérieure à 0 K, la
thermodynamique prévoit une concentration non nulle de ces défauts dans un cristal à l’équilibre. En
effet, le cristal est dans sa configuration énergétiquement la plus favorable quand son enthalpie libre est
minimale, et cette dernière dépend de la concentration de défauts.
Après calcul, on trouve que :
[X ]éq
 E (X ) 
= θ X ⋅ C Si ⋅ exp − f
,
 k⋅T 
Eq. I.4
où X représente un défaut (interstitiel ou lacune), l’exposant « éq » signifie l’état d’équilibre
thermodynamique, θX est le nombre de degrés de liberté du défaut dans le site cristallin (par exemple la
dégénérescence du spin), Csi le nombre de sites disponibles dans le cristal de silicium ; enfin, Ef(X) est
l’énergie de formation du défaut considéré.
I.1.2.3.2 La sursaturation
La sursaturation est une grandeur sans dimension qui a été introduite pour décrire la diffusion anormale
des dopants, ainsi qu’on le verra dans le paragraphe I.2.5. Elle représente l’excès de concentration d’un
24
Chapitre I
Physique des défauts et de la diffusion
défaut quand l’équilibre thermodynamique est perturbé. La sursaturation SX est le rapport entre la
concentration effective et la concentration à l’équilibre du défaut cristallin ponctuel considéré, tel
qu’exprimé ci-dessous :
SX =
[X ]
[X ]éq
.
Eq. I.5
Par exemple, à une température où la concentration d’interstitiels à l’équilibre est de 1x1010 cm-3, si la
concentration effective d’interstitiels atteint une valeur de 1x1013 cm-3, la sursaturation sera de 1000.
I.1.2.3.3 L’implantation ionique
Les anciennes techniques de dopage utilisaient la diffusion, par exemple par source solide ou gazeuse. La
concentration de dopants ainsi introduits est contrôlée par la température, qui détermine la solubilité
limite de l’impureté. Tous les dopants ainsi introduits sont actifs. Cependant la profondeur de jonction
est peu contrôlable : il faut augmenter la température du procédé pour augmenter la concentration, mais
la diffusion est alors plus importante.
La recherche de la performance et la miniaturisation ininterrompue du MOSFET ont finalement exigé
une méthode d’introduction des dopants contrôlée, tant du point de vue de la localisation que de la dose
introduite. Ainsi l’implantation ionique s’est vite imposée, depuis ses débuts en microélectronique dans
les années 1960.
Le principe de l’implantation ionique repose sur la direction d’un faisceau d’ions sur la plaque à traiter.
On commence donc par ioniser un gaz précurseur, contenant l’élément dopant désiré. Le gaz est soumis
à un champ électrique ; les ions sont alors accélérés, puis triés et filtrés en fonction de leur masse. A son
arrivée sur la plaque de silicium, le faisceau est donc très pur. Le champ électrique auquel les ions sont
accélérés définit l'énergie d'implantation, dont l'unité la plus couramment utilisée est le kiloélectron-volt
(keV).
Cette technique présente de nombreux avantages, dont :
- rapidité, homogénéité et reproductibilité du procédé ;
- contrôle très précis du nombre d’atomes implantés par simple mesure du courant du faisceau, cette
mesure étant particulièrement importante en cas de faible dose implantée ;
- possibilité d’obtenir des concentrations très élevées ;
- maîtrise parfaite de l’énergie du faisceau, et donc contrôle très fin de la distribution en profondeur des
ions implantés ; en particulier il est possible d’introduire un dopant en profondeur en dopant pas ou
peu la surface ;
- dans le cas d’une implantation à travers un motif, dispersion latérale très faible qui permet une plus
grande densification des circuits ;
- contrôle correct de la température du substrat lors de l’implantation ;
- dopage possible à travers de fines couches d’isolant (oxydes, nitrures, etc…).
La perception des phénomènes relatifs à l’implantation ionique repose sur la compréhension de
l’interaction entre un ion et le matériau cible. Nous nous référerons ici au silicium parfaitement cristallin.
25
Chapitre I
Physique des défauts et de la diffusion
Le freinage de l’ion incident au sein du matériau a deux composantes, électronique et nucléaire. La
proportion relative de ces deux composantes dépend de la masse de l’ion et de son énergie, comme le
montre la Figure I.7.
Pouvoir d'arrêt du
matériau cible (eV/nm)
1200
Pouvoir d'arrêt électronique
Pouvoir d'arrêt nucléaire pour l'As
Pouvoir d'arrêt nucléaire pour le Ph
Pouvoir d'arrêt nucléaire pour le B
1000
800
600
400
200
0
0
200
400
600
800
1000
Energie de l'ion (keV)
Figure I.7. Comparaison des pouvoirs d’arrêt nucléaire et électronique pour des ions de différentes masses et en
fonction de l’énergie de ceux-ci. D’après [Papuzza03]. Le pouvoir d’arrêt électronique ne dépend pas de la
masse de l’ion.
Le freinage électronique est dû à l’interaction entre le nuage électronique de l’ion incident et la population
d’électrons du matériau cible.
Le freinage nucléaire est dû quant à lui à l’interaction entre les noyaux de l’ion et du matériau cible.
Considérons la collision entre l’ion implanté et un atome du matériau. Si l’énergie transférée à l’atome
cible est inférieure au seuil de déplacement de celui-ci (soit 15 eV dans le silicium), il va simplement
revenir à sa position initiale en oscillant ; l’énergie perdue par l’ion incident est dissipée dans le silicium
sous forme de phonons. Au contraire, si l’énergie transférée est supérieure à ce seuil, l’atome de silicium
va quitter son site cristallin. Il se retrouve en position interstitielle, et laisse derrière lui un site vide, c'est-àdire une lacune. On a donc créé une paire de Frenkel. L’atome dopant va poursuivre son chemin, en
étant freiné par les électrons plus ou moins efficacement selon l’énergie qui lui reste, et en subissant des
collisions avec d’autres atomes de silicium – leur transférant ou non de l’énergie.
L’atome de silicium éjecté de son site, quant à lui, va continuer à se déplacer suivant les mêmes
mécanismes. Il subit un freinage à cause du nuage d’électrons, et si son énergie est assez grande, il va
pouvoir déplacer un ou plusieurs autres atomes de silicium, et ainsi de suite. Ainsi chaque ion incident va
générer une cascade de défauts, à la fois interstitiels et lacunes.
La trajectoire de l’ion incident au sein du cristal dépend de chacune de ses collisions, et comporte donc
une forte composante stochastique. La dispersion des dopants après implantation a donc, en première
approximation, une distribution spatiale en gaussienne, tant en profondeur (gaussienne assymétrique :
fonction de Pearson), que latéralement – dans le cas d’une implantation à travers l’ouverture d’un
masque. La profondeur à laquelle se situe le maximum de la concentration après implantation est appelée
la distance parcourue projetée, ou projected range en anglais (Rp).
26
Chapitre I
Physique des défauts et de la diffusion
Un ion plus lourd et volumineux va engendrer un nombre plus important de déplacements dans le
réseau de silicium qu’un ion plus léger. Le cristal sera plus endommagé, et le libre parcours moyen de
l’ion incident avant qu’il ne s’arrête sera plus court. Les atomes dopants se répartiront donc plus en
surface et le profil sera moins étalé.
Par ailleurs, plus la dose sera élevée et l’énergie d’implantation grande, plus le nombre de défauts générés
sera important.
Dans le cas de l’implantation d’un ion moléculaire, les énergies de liaison entre atomes sont très petites
devant les énergies d’implantation. Par exemple l’énergie de liaison entre le bore et le fluor dans le BF2+
est d’environ 9 eV, et il est extrêmement rare que l’énergie d’implantation se situe sous les 1000 eV pour
cette espèce. La molécule est donc cassée dès sa première interaction avec le substrat. L’energie de la
molécule est alors répartie entre ses différents atomes en fonction de leur masse [Synopsys07].
Un des premiers obstacles au contrôle de la profondeur et de la dispersion du profil implanté est le
phénomène de canalisation (ou channelling en anglais), qui apparaît lorsque la direction du faisceau
d’ions coïncide avec une des directions cristallographiques principales de la cible (Figure I.8). L’ion
incident rencontre moins d’atomes : il suit un canal dans le cristal. Son libre parcours moyen et son
parcours total sont plus longs, il crée moins de défauts et a une probabilité plus faible d’être rétro-diffusé.
Pour une plaque de type <100>, une désorientation de 7° par rapport à la direction du faisceau permet
de réduire considérablement ce phénomène (car cette valeur permet d’éviter les directions principales du
cristal diamant). L’angle d’implantation par rapport à la verticale de la plaque de silicium est appelé le tilt.
La part d’atomes canalisés est plus importante quand l’ion implanté est plus petit, car il lui est plus facile
de suivre les canaux du cristal.
Figure I.8. Vue du cristal de silicium dans la direction critallographique <110> : mise en exergue de canaux
entre les colonnes atomiques
Après avoir été générés, les interstitiels et les lacunes se recombinent, soit au cours de l’implantation, soit
pendant les premières étapes du recuit ultérieur. Seuls les atomes de silicium en position interstitielle
éjectés de leur site et remplacés par les dopants actifs resteront ; ce mécanisme d’éjection sera décrit plus
27
Chapitre I
Physique des défauts et de la diffusion
en détail dans la partie I.2 sur la diffusion des impuretés. Cette constatation a donné naissance au
« modèle +1 », qui considère que chaque atome implanté, devenant actif, génère un interstitiel.
I.1.2.3.4 L’amorphisation du substrat de silicium
Lorsque le nombre d’atomes déplacés devient très grand dans le cristal (donc la concentration
d’interstitiels et de lacunes très importante), la cristallinité du silicium (ordre à longue distance) n’est plus
réellement définie. On considère donc qu’au-delà d’un certain seuil, le matériau est devenu amorphe. La
valeur de ce seuil est exprimée en concentration de défauts, ou en fraction d’atomes hors de leur site
cristallin. Que ce soit par calcul ou par détermination expérimentale, la littérature a du mal à s’accorder
sur sa valeur, qui n’est pas facile à déterminer [Hobler03]. Il semble cependant qu’elle soit comprise
dans la gamme 6x1021 – 1x1023 cm-3.
I.1.2.4
Diffusion
I.1.2.4.1 Lacunes
Le mécanisme de diffusion des lacunes dans le silicium est très simple et fait intervenir les atomes de
silicium voisins. La diffusion de la lacune est une vue de l’esprit, au même titre que le transport des trous
: ce sont en fait les atomes voisins qui viennent se placer sur le site où se trouve la lacune. Ce
mécanisme est expliqué schématiquement sur un réseau bidimensionnel par la Figure I.9. De proche en
proche, il apparaît au final que la lacune a migré à travers le cristal.
V
V
Figure I.9. Mécanisme de diffusion de la lacune : échange de site avec l’atome de silicium voisin
I.1.2.4.2 Interstitiels
Quoique a priori difficilement mesurable, la diffusion de l’interstitiel s’est vite révélée très rapide. Pour
en donner une idée, Gwozdz et Koehler [Gwozdz72] ont conclu de leurs expériences que l’interstitiel de
silicium était déjà mobile à une température de 0.5 K !
La diffusion des interstitiels se fait principalement par deux mécanismes : le premier est la diffusion
simple d’un atome interstitiel, tandis que le second fait intervenir la diffusion d’une paire d’interstitiels.
Nous verrons que ces deux mécanismes sont d’importance capitale pour la diffusion de certains dopants.
I.1.2.4.2.1
La diffusion d’un atome de silicium en position interstitielle
Ce mécanisme de diffusion est le plus simple. L’atome de silicium saute d’un site interstitiel à un autre
selon le mode de marche aléatoire (direction du saut indépendante de celle du saut précédent). La Figure
I.10 explique ce mode de diffusion dans un réseau bidimensionnel.
28
Chapitre I
Physique des défauts et de la diffusion
position initiale et
chemin aléatoire
position finale
Figure I.10. Mécanisme de diffusion d’un interstitiel par sauts successifs d’un site à l’autre
Une des raisons de la haute diffusivité des atomes situés en position interstitielle est qu’ils ne sont alors
plus chimiquement liés au réseau. Une étape élémentaire de migration (d’un site à l’autre) ne nécessite
donc pas de rompre et reformer une liaison, mais seulement de franchir localement une barrière
énergétique.
Généralement, les sites entre lesquels évolue l’interstitiel considéré sont les sites tétragonaux et
hexagonaux. Ainsi, un chemin de migration privilégié a été identifié par Pantelides et al. [Pantelides83] :
l’interstitiel migre préférentiellement le long d’un canal à faible densité électronique connectant les sites
tétragonaux et hexagonaux du réseau. Autrement dit, ce canal est aussi celui où l’espace entre les atomes
du réseau cristallin est le plus grand.
Il est important de remarquer que, par ce mécanisme, le même atome de silicium effectue tous les sauts,
et diffuse de la position initiale à la position finale.
I.1.2.4.2.2
La diffusion d’un interstitiel par paire
Ce mécanisme plus complexe est expliqué sur la Figure I.11 dans le cas d’un réseau bidimensionnel. Il a
d’abord été proposé par Seitz [Seitz50].
Considérons d’abord un atome de silicium en position interstitielle dans le réseau. La première étape
consiste à changer de configuration : l’interstitiel considéré passe en configuration interstitielle divisée,
partageant un site du réseau avec l’atome qui s’y trouvait initialement ((a) (b)).
Dans le cas où cet atome est ensuite directement éjecté de son site, et se retrouve seul en position
interstitielle, cette étape est appelée mécanisme d’expulsion (« kick-out » en anglais).
Dans le cas examiné ici, la paire interstitielle diffuse en poussant de proche en proche les atomes de sites
voisins ((b) (c) (d)), jusqu’à l’éjection complète d’un des atomes du réseau ((d) (e)). Cet
atome de silicium qui se retrouve en position interstitielle à la fin du processus n’est pas nécessairement le
même que l’atome de silicium initial.
De ce fait, si le résultat final (diffusion d’un interstitiel) est le même pour les deux mécanismes présentés,
ce ne sera pas le cas quand un des atomes entrant en jeu sera un autre élément chimique. La distinction
entre le mécanisme de sauts successifs en position interstitielle et de diffusion par paires prendra donc
tout son sens dans le paragraphe I.2 sur la diffusion des impuretés.
29
Chapitre I
Physique des défauts et de la diffusion
(a)
Position initiale sur un site
tétragonal ou hexagonal
(b)
Passage en configuration
interstitielle divisée
(c)
Passage en configuration
interstitielle divisée avec
l’autre atome voisin
(d)
Passage en configuration
interstitielle divisée avec
l’atome suivant
(e)
Passage en site tétragonal
ou hexagonal du dernier
atome
Figure I.11. Mécanisme de diffusion d’un interstitiel par paire avec un autre atome de silicium
Les défauts cristallins que nous avons décrits jusqu’à présent ont une dimension de l’ordre de grandeur
de l’atome. La taille réduite de ces défauts leur a valu le nom de défauts ponctuels. Nous allons à présent
nous pencher sur les phénomènes d’agglomération de ces défauts cristallins élémentaires. Nous allons
voir que les agglomérats ainsi formés peuvent avoir des dimensions très variables, de quelques atomes à
plusieurs dizaines de nanomètres. On parlera alors de défauts étendus.
I.1.2.5
L’agglomération des défauts cristallins ponctuels : la formation des
défauts étendus
I.1.2.5.1 Naissance, vie et mort d’un défaut étendu
Les civilisations naissent de petits villages ; grandissent, s’étendent, et deviennent plus fortes ; font la
guerre à leurs voisines, et croissent encore aux dépens de ces dernières ; et s’effondrent enfin…
Il en est ainsi des défauts étendus, comme nous allons le voir incessamment.
I.1.2.5.1.1
Nucléation : la naissance
Un défaut cristallin, interstitiel ou lacune, possède une énergie de formation qui lui est propre. Cela
signifie qu’il faut apporter de l’énergie au système (cristal parfait de silicium) pour créer un de ces défauts,
cette énergie pouvant être tout simplement de la chaleur (voir paragraphe I.1.2.3.1). Quand deux défauts
30
Chapitre I
Physique des défauts et de la diffusion
cristallins ponctuels identiques se rapprochent suffisamment pour interagir, l’énergie de formation du
défaut ainsi formé devient plus faible que la somme de leurs énergies de formation individuelles. La
stabilité de ces défauts qui ont interagi entre eux est ainsi augmentée. Ils sont donc liés par une certaine
énergie de liaison El, qui est définie comme la diminution (due à l’interaction des défauts) de l’énergie
totale de formation, comme le décrit l’équation Eq. I.6 :
E l ( X 2 ) = 2 ⋅ E f ( X ) − E f (X 2 ) ,
Eq. I.6
où X représente soit un interstitiel, soit une lacune.
La distance à laquelle les défauts doivent se trouver l’un de l’autre pour que ce phénomène apparaisse est
appelée distance d’interaction. Ce mécanisme est directement responsable de l’agglomération des défauts,
autrement dit de la nucléation des défauts étendus.
I.1.2.5.1.2
Croissance : l’âge d’or
Les défauts peuvent croître en capturant un défaut de l’espèce qui les composent :
X n + X → X n +1 .
Eq. I.7
L’énergie de liaison d’un défaut avec un agglomérat en contenant déjà un nombre n est le gain d’énergie
obtenu avec un défaut de taille n+1 :
E l ( X n +1 ) = E f ( X n ) + E f ( X ) − E f ( X n +1 ) .
Eq. I.8
La stabilité de ces agglomérats est donc fonction du nombre de défauts qu’ils contiennent. En général,
plus un défaut étendu sera grand, et plus il sera stable, ou dit autrement, l’énergie de liaison d’un défaut à
un agglomérat est positive. Insistons sur le fait qu’il s’agit d’une règle générale, car il peut y avoir des
écarts à cette règle, même en considérant un seul type de défauts.
Un autre moyen de croître pour les défauts étendus est de générer une paire interstitiel – lacune, le défaut
de même nature y restant lié et celui de nature opposée étant émis dans le silicium. Ce mécanisme est
appelé mécanisme de Frank – Turnbull. Prenons l’exemple d’un défaut formé de lacunes ; la réaction est
alors décrite par l’équation Eq. I.9 :
Vn → Vn +1 + I .
Eq. I.9
Il est bien entendu nécessaire que le gain énergétique entre Vn et Vn+1 soit supérieur à l’énergie de
formation de la paire de Frenkel, soit la somme des énergies de formation d’un interstitiel et d’une
lacune.
I.1.2.5.1.3
Maturation d’Ostwald : la concurrence
Le phénomène de maturation d’Ostwald a pour résultat de favoriser la croissance des plus gros défauts
au détriment des plus petits, et de réduire leur densité. La loi de Gibbs-Thomson stipule qu’un défaut
étendu contenant n défauts ponctuels X (X étant un interstitiel ou une lacune) est en équilibre local avec
une sursaturation de défauts ponctuels dépendant de son énergie de formation E f (X n ) (et donc de sa
taille). Désignons par SX(Xn) la sursaturation en X associée au défaut Xn de taille n :
 E (X ) 
S X (X n ) ∝ exp f n  .
 k⋅T 
Eq. I.10
31
Chapitre I
Physique des défauts et de la diffusion
Nous avons déjà vu que la stabilité d’un défaut avait tendance à augmenter avec sa taille, c'est-à-dire que
son énergie de formation diminuait. Par conséquent, et en considérant l’équation Eq. I.10, la
sursaturation de défauts ponctuels sera plus faible à proximité d’un gros défaut qu’au voisinage d’un petit.
Il se crée ainsi un gradient de défauts ponctuels, menant à la diffusion de ceux-ci des plus petits défauts
étendus vers les plus gros. Puis pour maintenir l’équilibre de la loi de Gibbs – Thomson, les petits défauts
étendus devront relâcher des défauts ponctuels en se dissolvant, et les gros défauts étendus devront en
absorber, en grossissant. La maturation d’Ostwald est donc un phénomène auto-alimenté.
Suite à cette phase, seuls vont subsister des défauts de taille conséquente.
I.1.2.5.1.4
Dissolution : la fin du règne
Comme il a été évoqué dans le paragraphe précédent, il est possible que les défauts étendus se dissolvent.
Ce peut être soit pendant une phase de maturation d’Ostwald, soit à la fin de leur cycle de vie. Quand la
densité de défauts étendus devient très faible suite à la maturation d’Ostwald, la distance moyenne entre
deux défauts étendus devient plus grande que la distance moyenne entre un défaut étendu et la surface de
l’échantillon (interface silicium – oxyde natif). Les défauts étendus ne sont donc plus en concurrence
entre eux, mais en concurrence directe avec cette interface, considérée comme un puits infini pour les
interstitiels. C’est comme si la maturation d’Ostwald se poursuivait, mais alors avec un très gros défaut
étendu dans la population. C’est ce moment où le rôle de l’interface devient prépondérant dans
l’évolution des défauts (et qui leur est fatal) que j’appelle la fin de cycle de vie des défauts.
Le premier mécanisme de dissolution des défauts étendus consiste en l’émission d’un défaut ponctuel :
X n → X n −1 + X .
Eq. I.11
Par ailleurs, l’interaction entre un interstitiel et un défaut étendu de lacunes conduit à l’annihilation d’une
paire lacune-interstitiel, et donc contribue à la dissolution du défaut étendu :
Vn + I → Vn −1 .
Eq. I.12
L’atome de silicium interstitiel a alors retrouvé une place sur un site du réseau cristallin.
La réaction correspondante est également possible entre une lacune et un défaut étendu d’interstitiels :
I n + V → I n −1 .
Eq. I.13
I.1.2.5.2 Lacunes
I.1.2.5.2.1
Petits agglomérats
Des agglomérats contenant de deux à six lacunes ont été identifiés, par diverses études expérimentales et
théoriques [Watkins65, Lee74, Hourakine00]. Une des configurations stables pour un cluster de
lacunes est un anneau hexagonal emprunté au réseau de silicium [Chadi88, Estreicher97]. Cependant
leur taille extrêmement réduite ne permet pas aujourd’hui de les observer directement par microscopie
électronique à transmission.
I.1.2.5.2.2
Cavités
Quand ces agglomérats deviennent observables par microscopie électronique à transmission, en
atteignant des tailles de l’ordre de quelques nanomètres, ils apparaissent alors sous forme sphéroïdale
32
Chapitre I
Physique des défauts et de la diffusion
[Holland91]. On appelle ces défauts des cavités, ou voids en anglais. Un exemple de cavités observées au
microscope électronnique à transmission est présenté sur la Figure I.12.
Cavités
Figure I.12. photo TEM tirée de [Holland91]
Les cavités apparaissent quelque fois, lorsqu’elles sont très grandes, avec une forme octaédrique, dont les
faces sont les plans denses {111} du silicium [Falster00, Ueki97].
I.1.2.5.3 Interstitiels
I.1.2.5.3.1
Petits agglomérats
Bien que leurs résultats précis soient sujets à des incertitudes, des investigations théoriques et
expérimentales ont permis de dégager deux tailles dites « magiques », qui font à peu près l’unanimité.
L’énergie de formation des plus petits agglomérats n’est pas une fonction monotone de leur taille. En
particulier, quand un agglomérat est formé de quatre, ou surtout de huit interstitiels, son énergie de
formation présente un minimum local [Cowern99a]. Malgré ces fluctuations, on pourra retenir la
tendance générale suivante : plus l’agglomérat contient d’interstitiels, plus il sera énergétiquement stable.
La Figure I.13 montre l’évolution de l’énergie de formation des petits agglomérats d’interstitiels en
fonction de leur taille.
énergie de
formation (eV)
1.6
1.4
1.2
1.0
0.8
0.6
0.4
1
10
100
taille de l’agglomérat
(nombre d’interstitiels)
Figure I.13. Evolution de l’énergie de formation des petits clusters de silicium interstitiels selon leur taille
D’après [Cowern99a].
Des configurations particulières ont été mises en évidence [Pichler04], mais l’observation expérimentale
ne permet pas de confirmer ces études, du fait de la petite taille des agglomérats.
I.1.2.5.3.2
Défauts {311}
33
Chapitre I
Physique des défauts et de la diffusion
Les défauts {311} se forment par réarrangement des atomes formant les petits agglomérats. Les
interstitiels de l’agglomérat et les atomes du cristal alentour se réorganisent en colonnes d’interstitiels
allongées dans la direction <110>. Cette réorientation, qui a lieu pour des tailles de l’ordre de la dizaine
d’atomes, nécessite d’outrepasser une barrière énergétique [Claverie99]. La période d’une colonne est de
deux atomes. Ces colonnes sont reproduites de manière pseudo-périodique dans une direction <113>,
par exemple [-3-32]. Les défauts {311} forment donc une bande d’interstitiels étendue dans un plan de la
famille {311}. C’est bien entendu cette particularité qui leur a valu leur nom. Au voisinage des colonnes,
les atomes de silicium du réseau relaxent les contraintes engendrées par les interstitiels, en se déplaçant
légèrement de leur site. Mais les contraintes sont telles que le motif formé par les colonnes d’une part, et
la relaxation (insuffisante) des atomes voisins d’autre part, est parfois alterné avec un autre motif. Ce
second motif est également colonnaire dans une direction <110>, mais ne contient aucun interstitiel. Il
possède uniquement un rôle de relaxation du réseau et de minimisation de l’énergie introduite par le
défaut.
La Figure I.14 montre une projection du cristal de silicium selon l’axe [1-10], avec le premier motif
colonnaire contenant les interstitiels (bleus), et le déplacement des atomes voisins. Les liaisons atomiques
ne sont pas représentées ici, mais il est intéressant de savoir que tous les atomes (interstitiels et autres)
sont liés à quatre voisins, comme dans le cristal parfait. Ainsi l’énergie due aux liaisons pendantes est
nulle. Une description très précise des {311} pourra être trouvée dans [Takeda91a,
Kohyama92/93/95].
34
Chapitre I
Physique des défauts et de la diffusion
[113]
(a)
[-3-32]
⊗ [1-10]
atomes de silicium du réseau
appartenant à deux « altitudes
atomiques » différentes dans la
direction <110>
atomes de silicium formant le
cristal parfait avant insertion des
interstitiels
atomes de silicium interstitiels
formant le motif qui se répète
dans la direction <110>
(colonne)
(b)
Figure I.14. (a) Projection dans la direction [1-10] du motif formant les défauts {311}, d’après Takeda et
Kohyama [Takeda91a, Kohyama92/93/95]. (b) Vue en 3 dimensions d’un défaut {311} avec les
colonnes d’interstitiels et le motif de relaxation des contraines. Image tirée de [Takeda91b].
35
Chapitre I
Physique des défauts et de la diffusion
Il a par ailleurs été trouvé que la croissance en longueur (direction <110>) des défauts {311} était
accompagnée d’une augmentation de leur largeur (direction <332>), c'est-à-dire du nombre de colonnes
d’interstitiels [Liu96]. La relation expérimentale entre la largeur W et la longueur L exprimées en nm est :
W = 0.5 ⋅ L
Eq. I.14
où W dépend du nombre de colonnes qui forment le défaut.
Le moteur de la croissance des défauts {311} est celui qui a été expliqué dans le paragraphe I.1.2.5.1.1 :
plus un défaut {311} contient d’interstitiels, plus son énergie de formation est faible, c'est-à-dire plus il
est stable. Ainsi les interstitiels qui diffusent dans le substrat et qui arrivent à proximité d’un défaut {311}
sont capturés et contribuent à la croissance de celui-ci. L’énergie de formation du défaut {311} diminue
de manière asymptotique, vers un minimum (atteint pour une taille infinie) estimé autour de 0.6 eV
[Cowern99a, Claverie99]. Cette énergie minimale correspond à l’énergie de faute d’empilement du
défaut. La Figure I.15 montre l’évolution de l’énergie de formation des défauts {311} en fonction de
Energie de formation (eV/at)
leur taille.
Si la dose d’interstititels injectée est endessous d’une certaine limite, les défauts
1.3
{311} vont se dissoudre pendant la suite
défauts {311}
du recuit, en relâchant progressivement
1.0
leurs interstitiels. Cette limite n’a pas de
valeur déterminée car elle dépend des
0.7
conditions de recuit. En revanche, si la
0.4
dose
agglomérats
10
100
1000
Taille du défaut (nombre d’interstitiels)
Figure I.15. Energie de formation des défauts {311} comparée à
celle des petits agrégats d’interstitiels, d’après [Claverie01]
d’interstitiels
injectée
suffisamment forte, les défauts {311}
vont évoluer sous forme de boucles de
dislocation, qui sont des défauts plus
gros et plus stables. Nous allons à
présent nous attacher à les décrire.
I.1.2.5.3.3
36
est
Boucles
Chapitre I
Physique des défauts et de la diffusion
de dislocation
Une boucle de dislocation est une portion de plan {111} supplémentaire dans l’empilement cubique face
centrée du cristal parfait. La dislocation forme une boucle à peu près circulaire ; la boucle de dislocation
est donc un disque d’interstitiels. Il existe deux types de boucles de dislocation :
- la boucle de dislocation parfaite est constituée par une portion de plan {111} sans faute d’empilement
par rapport au cristal parfait ;
- la boucle de dislocation fautée, en revanche, présente une faute d’empilement, comme son nom le
laisse entendre.
L’illustration de la Figure I.16 met en évidence la différence entre les deux types de boucles de
dislocation.
Figure I.16. Structure des boucles de dislocation fautée (haut) et parfaite (bas) ; schéma tiré de [Sztucki01]
Les boucles de dislocation se forment par réorientation de l’amas d’interstitiels. Ce ne sont pas forcément
les mêmes atomes de silicium qui étaient en position interstitielle dans le défaut {311} qui se retrouvent à
nouveau en position interstitielle dans la boucle de dislocation. Les interstitiels et les atomes environnants
se réorientent simultanément. Après réorientation, le défaut s’étend dans un plan de la famille {111}.
L’énergie de distorsion du réseau due à la dislocation (bord du défaut) augmente, mais est compensée par
la réduction de l’énergie de faute d’empilement. Cette dernière dépend du type de boucle formée.
Comme pour les défauts {311}, l’énergie de formation des boucles de dislocation diminue avec
l’augmentation de leur taille. Le schéma de la Figure I.17 montre l’énergie de formation des boucles de
dislocation en fonction de leur taille, comparée aux énergies des petits agglomérats et des défauts {311}.
37
Energie de formation (eV/at)
Chapitre I
Physique des défauts et de la diffusion
1
boucles de
dislocations
petits
agglomérats
défauts {311}
0.1
101
102
103
104
105
106
Taille du défaut (nombre d’interstitiels)
Figure I.17. Energie de formation des boucles de dislocation en fonction de leur taille et comparaison avec les
autres défauts étendus. Figure adaptée de [Boucard03].
L’énergie d’une boucle de dislocation est la somme de l’énergie de faute d’empilement des atomes et de
l’énergie des distorsions au niveau de la dislocation. Il en est de même pour les défauts {311}, bien que
ces notions de dislocation et de faute d’empilement soient moins faciles à saisir dans le cas d’un défaut
{311}. L’énergie de dislocation des boucles parfaites est plus importante que l’énergie de dislocation des
boucles fautées : la déformation du réseau qu’elles induisent est en effet plus importante. Cependant,
l’énergie de faute d’empilement des boucles parfaites est nulle, par définition, tandis que celle des boucles
fautées est de l’ordre de 0.03 eV [Claverie99]. Ainsi les courbes des énergies de formation des boucles
fautées et parfaites se croisent. Quand les boucles parfaites deviennent plus stables que les boucles
fautées, le défautage de ces dernières en boucles parfaites devient possible. Ceci n’advient que quand les
boucles fautées atteignent des tailles importantes, c'est-à-dire quand la dose d’interstitiels injectée est
forte, et que le budget thermique est suffisamment important.
Les boucles de dislocation étant des défauts très stables, il faut un budget thermique important (en
température ou/et en temps de recuit) pour les dissoudre.
I.1.2.5.4 Lacunes et interstitiels
Nous avons vu dans le paragraphe I.1.2.3.3 que l’étape d’implantation ionique générait des paires de
Frenkel au sein de cascades de défauts. Il se forme donc des régions fortement endommagées, où
interstitiels et lacunes cohabitent dans la matrice cristalline. En leur sein, interstitiels et lacunes se
recombinent, en suivant une certaine cinétique. Plus les interstitiels et lacunes ainsi agglomérés seront
nombreux, plus leur recombinaison deviendra difficile, et plus ces poches fortement endommagées
seront stables. On peut donc aisément considérer ces poches comme des défauts en soi. Bien que le
silicium soit encore cristallin, ces défauts seront appelés « poches amorphes » dans ce travail, parce qu’ils
sont les sites de nucléation des premières zones amorphes qui se créeront.
Cependant, un recuit léger suffit généralement à recombiner toutes les paires au sein d’une poche
amorphe. Si initialement le nombre d’interstitiels dans la poche amorphe est supérieur au nombre de
lacunes, il ne restera après recombinaison que des interstitiels formant un agglomérat. Ce dernier suivra
une évolution ainsi qu’il est rapporté dans le paragraphe I.1.2.5.3. Si au contraire la poche amorphe
38
Chapitre I
Physique des défauts et de la diffusion
renferme plus de lacunes, l’amas restant sera un agglomérat de lacunes, tel que décrit dans le paragraphe
I.1.2.5.2.
I.2 La diffusion des impuretés
I.2.1
La présence d’impuretés dans le silicium
I.2.1.1
Les éléments résiduels présents dans le silicium
Le silicium utilisé en microélectronique a une pureté exceptionnelle. Avant que le procédé de fabrication
ne soit lancé, les impuretés sont présentes en quantités infimes. Après formation du lingot monocristallin
de silicium par la méthode de Czochralski (CZ), le matériau est purifié une ou plusieurs fois par fusion de
zone (FZ). Malgré tout, il reste toujours quelques impuretés indésirables dans les plaques.
On trouve des éléments métalliques, comme le fer, le nickel ou le cuivre [Gilles90], à des concentrations
ne dépassant plus aujourd’hui les 5x109 atomes/cm3 [Istratov00].
Mais il y a également du carbone, naturellement présent avec le silicium, et de l’oxygène, qui possède une
grande affinité avec le silicium.
I.2.1.2
Le dopage et l’introduction volontaire d’autres espèces
Est-il besoin de rappeler que le dopage des semiconducteurs est la clef de leur succès ?
Les espèces dopantes sont divisées en deux familles selon leur position dans la classification périodique
de Mendeleïev. Les dopants de type n, éléments de la colonne V, introduisent un électron en sus dans le
cristal. Les dopants de type p, appartenant à la colonne III, provoquent un défaut d’électrons, créant
l’espèce mobile chargée positivement qu’est le trou. Les dopants de type n généralement utilisés en
micro-électronique sur silicium sont l’arsenic et le phosphore, et, beaucoup plus rarement, l’antimoine ;
pour un dopage de type p, on introduit surtout du bore, mais aussi de l’indium.
Les dopants sont introduits volontairement par des procédés technologiques déjà décrits ci-dessus
(paragraphe I.1.2.3.3), c'est-à-dire, de nos jours et en microélectronique, quasi exclusivement par
implantation ionique.
On introduit également par implantation ionique des éléments plus ou moins lourds, qui permettent de
modifier la diffusion et l’activation des dopants au cours du procédé de fabrication. Les gros atomes
neutres, issus de la colonne IV (comme le germanium) ou de la famille des gaz rares (par exemple le
xenon), sont introduits non pour leur nature en soi, mais pour les défauts qu’ils génèrent, modifiant la
structure du substrat jusqu’à son amorphisation. Leur utilisation sera abordée dans la partie I.2.5.3.1.
Quant aux éléments légers, tels que le fluor, le carbone, ou l’azote par exemple, ils sont utilisés pour
limiter la diffusion des dopants ou améliorer leur activation On y reviendra plus en détail dans la partie
I.2.5.3.2.
39
Chapitre I
I.2.2
Physique des défauts et de la diffusion
Configuration et diffusion en condition d’équilibre
I.2.2.1
En site substitutionnel
Pour être actif, c'est-à-dire libérer un porteur de charge, le dopant doit tout d’abord être placé en position
substitutionnelle, à la place d’un atome de silicium du réseau. Il sera ainsi lié à quatre atomes voisins de
silicium. Si c’est un élément de la colonne V, un des cinq électrons de valence sera de trop et ne
participera pas aux liaisons ; on parle d’élément donneur. Si c’est un élément de la colonne III, il
manquera un électron pour former les quatre liaisons covalentes du bore. Si celles-ci sont tout même
formées, il manquera quoiqu’il en soit un électron dans une des liaisons interatomiques du cristal : un
trou sera créé dans la bande de valence ; on parle d’élément accepteur. Le placement en position
substitutionnelle dans le réseau constitue l’activation chimique du dopant. Ces deux porteurs de charge
(électron de la bande de conduction et trou de la bande de valence) sont potentiellement mobiles,
condition qui doit être satisfaite pour qu’ils participent effectivement à la conduction du courant dans le
silicium.
Un dopant sera donc électriquement actif quand la barrière énergétique vue par le porteur pour se
libérer sera très faible devant l’énergie thermique k.T. En d’autres termes, le niveau énergétique introduit
par le dopant dans le gap du silicium devra être superficiel, typiquement à moins de 0.1 eV de la bande de
conduction (niveau donneur : type n) ou de valence (niveau accepteur : type p). On retiendra cependant
l’utilisation de l’indium, dont le niveau accepteur se situe à EV + 160 meV, avec les problèmes que cette
valeur implique sur l’activation à température ambiante : c’est le phénomène de gel des porteurs, c'est-àdire que les porteurs potentiellement générés par l’activation chimique de l’indium restent en fait liés à
celui-ci. N’étant pas libres, ils sont incapables de conduire le courant.
Quand une impureté, dopante ou non, est en position substitutionnelle dans le cristal, elle est considérée
comme immobile. En effet, le coût énergétique est élevé pour qu’il y ait échange de site entre un atome
du réseau et son voisin [Hu73].
I.2.2.2
En site interstitiel
Les atomes de dopants peuvent également se trouver en site interstitiel. Cette situation est a priori
possible pour tous les atomes de dopants ; cependant, elle est beaucoup plus probable pour les éléments
légers que pour les éléments lourds, car ils ont un faible encombrement atomique. Il est en effet clair
qu’un atome d’indium par exemple (nombre atomique 49, soit un nuage de 49 électrons à l’état neutre)
occupera plus de place dans le réseau qu’un atome de bore (nuage de 5 électrons à l’état neutre). Ainsi les
petits dopants (bore et phosphore) auront une probabilité non négligeable de se trouver en position
interstitielle. Il est cependant important de garder à l’esprit que tous ces dopants sont beaucoup plus
stables en position substitutionnelle qu’en position interstitielle [Pichler04].
N’étant pas liés à exactement quatre atomes de silicium, les atomes dopants en position interstitielle ne
sont pas actifs. Cependant la fraction des atomes dopants se trouvant en position interstitielle à un
instant donné reste toujours très faible, car comme nous venons de le voir, ce n’est pas cet état le plus
40
Chapitre I
Physique des défauts et de la diffusion
stable. La désactivation des dopants n’est donc pas due au passage à la configuration interstitielle, mais à
l’agglomération des dopants avec les défauts cristallins ponctuels, phénomène qui sera abordé dans la
partie I.2.2.5.
Un atome en position substitutionnelle peut passer en position interstitielle par le mécanisme
d’expulsion, déjà évoqué dans le paragraphe I.1.2.4.2.2 dans le cas des auto-interstitiels. Dans le cas des
dopants, un atome de silicium en position interstitielle éjecte l’atome dopant de son site substitutionnel.
Celui-ci se trouve alors à son tour en position interstitielle (Figure I.18 (a)).
Les petits atomes ont généralement une grande mobilité quand ils se trouvent en position interstitielle. Ils
diffusent alors rapidement à travers les « canaux » formés par le cristal de silicium. C’est une des raisons
pour lesquelles le bore et le phosphore ont un coefficient de diffusion élevé dans le silicium. Ce mode de
diffusion est appelé mécanisme interstitiel direct (Figure I.18 b)). Ce mécanisme est le même que
dans le cas des auto-interstitiels (paragraphe I.1.2.4.2.1).
Enfin, après avoir diffusé, les impuretés en site interstitiel auront natuellement tendance à se
repositionner en site substitutionnel (Figure I.18 (c)), en éjectant à leur tour un atome de silicium du
cristal (Figure I.18 (d)).
(a)
(b)
(a)
(b)
expulsion
diffusion
interstitielle
repositionnement en
site substitutionnel
dopant en site
substitutionnel
Figure I.18. Mécanisme de diffusion interstitielle d’une impureté par expulsion
On a ainsi décrit dans ce paragraphe un des mécanismes de diffusion des impuretés, avec les trois étapes
expulsion, diffusion interstitielle, et repositionnement en site substitutionnel. L’enchaînement de ces
étapes se résume ainsi :
.
B+I → B
{i → B + I
diffusion
Eq. I.15
Il existe un autre mécanisme qui fait intervenir les défauts intrinsèques dans la diffusion des dopants :
c’est la formation des paires mobiles dopant-défaut.
I.2.2.3
Les paires impureté – défaut cristallin
La diffusion des impuretés dans le silicium est principalement due à la formation de paires avec les
défauts cristallins ponctuels, interstitiel ou lacune selon l’espèce considérée. L’énergie de liaison entre
l’impureté et le défaut cristallin définit la stabilité de la paire.
41
Chapitre I
Physique des défauts et de la diffusion
I.2.2.3.1 Paire impureté – interstitiel : l’exemple du bore
Dans le cas d’un atome de petite taille (par exemple bore, ou fluor…), l’interstitiel de silicium pourra
profiter du faible encombrement de l’impureté pour moins déformer le réseau alentour, et ainsi améliorer
sa stabilité. C’est cette diminution de l’énergie de formation de l’auto-interstitiel qui est responsable de
l’énergie de liaison de la paire.
Le mécanisme général de diffusion d’une paire dopant – auto-interstitiel se rapproche de celui de la
diffusion d’un dopant interstitiel. L’enchaînement des réactions d’appariement, de diffusion, et de
rupture de la paire se résume ainsi :
B + I → BI
{ → B+I .
Eq. I.16
diffusion
D’un point de vue purement chimique, ces réactions sont les mêmes que celles d’expulsion, diffusion, et
retour en site substitutionnel. La différence n’est pourtant pas insignifiante sur la façon même de diffuser
de la paire. Dans le cas du mécanisme interstitiel direct, le dopant diffusait seul dans le réseau, de site
interstitiel en site interstitiel. Dans le cas de la paire, le dopant n’est pas complètement éjecté de son site
substitutionnel, mais partage ce site en configuration interstitielle divisée avec un atome de silicium. La
paire ainsi formée diffuse selon le mécanisme décrit par la Figure I.19. Ce mécanisme est appelé
mécanisme de diffusion par paire, ou mécanisme assisté par interstitiel.
(a)
Arrivée d’un interstitiel à
proximité de l’impureté, et
interaction
(d)
(b)
partage du site du réseau
entre un silicium et
l’impureté en
configuration interstitielle
divisée
(c)
L’impureté change de site
(et partage celui-ci avec un
autre atome de silicium)
(e)
(f)
déplacement final
Changement de position
relative de l’impureté et du
silicium (même
configuration mais
conformation différente)
Ejection d’un atome de
silicium de son site par
l’atome d’impureté
Dopant en site
substitutionnel et silicium
interstitiel : déplacement
final du dopant
Figure I.19. Mécanisme de diffusion assisté par interstitiel : diffusion de la paire dopant-interstitiel
Un auto-interstitiel arrive à proximité d’un dopant en site substitutionnel. L’interstitiel de silicium peut
être soit en position interstitielle, comme c’est le cas dans notre exemple (a), soit en configuration
interstitielle divisée. Le dopant est alors éjecté de son site substitutionnel ; mais contrairement au cas du
42
Chapitre I
Physique des défauts et de la diffusion
mécanisme interstitiel direct, il ne se retrouve pas en position interstitielle pure (typiquement site
tétragonal ou hexagonal), mais partage le site du réseau avec un atome de silicium, en configuration
interstitielle divisée (b). La paire dopant-interstitiel est alors formée.
C’est ici que se trouve la différence fondamentale entre le mécanisme interstitiel direct et le mécanisme
de diffusion assistée par paire. Bien que d’un point de vue réaction chimique les deux situations soient
équivalentes (les atomes réagissant sont les mêmes dans les deux situations), dans le dernier cas l’atome
d’impureté reste lié au réseau.
La paire impureté – silicium interstitiel est mobile et diffuse en poussant de proche en proche les atomes
des sites voisins (b) (c) (d). On remarquera bien que d’une étape à l’autre la paire n’est pas
formée avec le même interstitiel : à chaque stade de la diffusion celui-ci change. En revanche ce peut être
le dopant qui reste sur le site du réseau (e) et l’interstitiel qui continue de diffuser, soit par paire selon le
même mécanisme, soit en se positionnant en site interstitiel, comme dans l’exemple de la figure (f). La
paire dopant défaut est alors brisée.
Ce mécanisme et le mécanisme interstitiel direct sont en concurrence : l’impureté peut passer en position
interstitielle (éjection totale du site substitutionnel) ou rester liée au défaut (paire), et changer de
configuration en cours de diffusion. Expérimentalement il est difficile de les différencier ; seuls les calculs
ab initio et les simulations en dynamique moléculaire peuvent permettre d’évaluer les importances
relatives de l’un et l’autre des mécanismes.
I.2.2.3.2 Paire impureté – lacune : l’exemple de l’arsenic
Le concept de base de la diffusion par les lacunes est simple : il s’agit de l’échange de site entre un dopant
substitutionnel et une lacune. C’est exactement le mécanisme de diffusion de la lacune déjà décrit dans le
paragraphe I.1.2.4.1 (Figure I.9). Mais au lieu d’un atome de silicium voisin, c’est une impureté qui
échange de site avec la lacune.
Suite à cet échange, la lacune peut très bien continuer à diffuser à travers le cristal de silicium ; c’est le cas
le plus général. Cela suppose que le dopant et la lacune ne sont pas liés. Cependant les lacunes et
certaines impuretés, telles que l’arsenic, ont une énergie de liaison non nulle, c'est-à-dire que l’impureté et
la lacune sont plus stables appariées que séparées. De plus la paire formée est mobile. Encore une fois la
liaison de la paire peut se comprendre intuitivement comme une conséquence de l’encombrement du
réseau par une impureté volumineuse, encombrement qui sera en partie « absorbé » par la présence d’une
lacune, ou, dit autrement, par l’absence d’un atome de silicium, à proximité du dopant.
Le mécanisme de diffusion de la paire ainsi formée est expliqué schématiquement sur la Figure I.20.
Quand l’échange entre le dopant et la lacune a eu lieu (a) (b), la lacune reste liée au dopant en
migrant le long de l’hexagone. Elle passe ainsi de premier voisin du dopant en position de deuxième
(c), puis troisième voisin (d). C’est à cette étape en particulier que l’énergie de liaison de la paire
dopant – défaut est influente : au lieu de s’éloigner encore de l’impureté, la lacune continue son tour de
l’hexagone (e) et retourne à proximité du dopant (f), indifféremment d’un côté ou de l’autre (il y a
une symétrie du système). Ainsi l’échange de site entre la lacune et l’impureté peut se renouveler, et le
mécanisme se poursuivre. C’est donc bien la paire impureté – lacune qui diffuse.
43
Chapitre I
Physique des défauts et de la diffusion
dopant
(a)
(b)
(c)
(e)
(f)
lacune
(d)
Figure I.20. Mécanisme de migration de la paire impureté – lacune dans le silicium (réseau projeté selon la
direction <110>)
Les réactions d’appariement, de diffusion et de rupture de la paire se résument à nouveau ainsi :
As + V → AsV
{ → As + V .
diffusion
Eq. I.17
I.2.2.3.3 Mécanisme de Frank – Turnbull
Le mécanisme de Frank – Turnbull est une combinaison du mécanisme d’expulsion et du mécanisme
lacunaire. Le point de départ de ce mécanisme est une impureté A en site substitutionnel, qui s’éjecte de
son site. Elle laisse alors derrière elle une lacune dans le réseau. Soit l’impureté se retrouve en position
interstitielle (Ai), soit elle forme un paire avec un atome de silicium voisin (AI). Dans les deux cas elle
diffuse ensuite selon le mécanisme correspondant. Après que l’impureté ait diffusé, la réaction inverse
peut avoir lieu : si elle rencontre une lacune dans le réseau, elle passe à nouveau en position
substitutionnelle. L’enchaînement des réactions peut s’écrire de la manière suivante :
A→ A
V →A,
{
{i + diffusion
Eq. I.18
A → AI
V →A.
{ + {
Eq. I.19
diffusion
ou :
diffusion
diffusion
Remarquons qu’au cours de cette réaction, c’est une paire de Frenkel (interstitiel et lacune, non liés) qui a
été générée, et dont l’interstitiel est resté lié au dopant. Le cas inverse existe également, où c’est la lacune
qui demeure liée au dopant, tandis que l’interstitiel est libre de diffuser seul.
I.2.2.3.4 Les mécanismes en jeu en fonction des dopants
44
Chapitre I
Physique des défauts et de la diffusion
On l’aura compris, les dopants ne diffusent pas tous en suivant le même mécanisme. Leur tendance à
diffuser via les lacunes ou via les interstitiels dépend fortement de leur taille atomique et de leur
encombrement dans le réseau. Cette tendance se traduit par une prédominance d’un mécanisme sur
l’autre : un dopant n’est pas tenu de diffuser selon un seul mécanisme, interstitiel ou lacunaire. C’est
pourquoi on a défini la fraction de diffusion assistée par interstitiels, fI. Elle représente l’importance des
mécanismes assistés par interstitiels dans la diffusion d’un dopant. Pour un dopant ne diffusant que via
les interstitiels, et pas du tout via les lacunes, on aura fI = 1 ; au contraire, pour un dopant ne diffusant
que via les lacunes, on aura fI = 0. On définit également la fraction de diffusion lacunaire, fV ; fI et fV sont
liés par la relation :
f V = 1 − fI .
Eq. I.20
Les valeurs trouvées dans la littérature pour ce paramètre présentent des écarts parfois assez importants.
Pour une revue très complète des valeurs trouvées ces 25 dernières années, on pourra se reporter au livre
de Pichler sur la diffusion [Pichler04]. Les récentes études menées par Ural et al. [Ural99] semblent
parmi les plus fiables, mais il faut garder en tête qu’elles ne sont pas avérées à 100 %. Les valeurs
obtenues pour les cinq principaux dopants de la microélectronique sont rassemblées dans le Tableau
I.1.
Tableau I.1. Fraction de la diffusion assistée par interstitiels pour les cinq principaux dopants
Dopant
Phosphore
Arsenic
Antimoine
Bore
Indium
Valeur de fI
0.89 < fI < 1
0.33 < fI < 0.57
0 < fI < 0.07
0.89 < fI < 1
fI > 0.5
Référence
[Ural99]
[Ural99]
[Ural99]
[Ural99]
[Griffin98]
I.2.2.4
Coefficient de diffusion effectif
Il est important de bien différencier le coefficient de diffusion « microscopique » du coefficient de
diffusion « macroscopique », ou coefficient de diffusion effectif.
Prenons l’exemple général d’une impureté A immobile en position substitutionnelle, formant avec un
défaut cristallin ponctuel X une paire AX très mobile. L’impureté substitutionnelle est quasiment
immobile, car son énergie de migration, qui est l’énergie demandée pour un échange de site avec un
atome voisin de silicium, est très grande. Une fois formée, la paire AX diffuse avec son coefficient de
diffusion propre. L’énergie de migration de la paire est beaucoup plus faible. Tôt ou tard, la paire AX va
se rompre, laissant l’impureté en position substitutionnelle, donc immobile. La diffusion microscopique
est donc stoppée à ce moment, jusqu’à ce qu’un autre défaut vienne faire diffuser l’espèce A.
Statistiquement, le coefficient de diffusion effectif de A dépend à la fois du coefficient de diffusion de sa
forme mobile (AX), et de la fraction moyenne de A qui est sous forme de paires mobiles.
Ainsi la diffusion macroscopique, c'est-à-dire mesurée, est liée non seulement à la vitesse de diffusion de
la paire mobile AX, mais encore à la stabilité de cette paire, c'est-à-dire à son énergie de liaison.
Ce coefficient de diffusion effectif D eff (A ) se calcule avec les paramètres microscopiques, de la manière
suivante :
45
Chapitre I
Physique des défauts et de la diffusion
 E eff (A ) 
 −
,
D eff (A ) = D eff
A
⋅
exp
(
)
0
k ⋅ T 

Eq. I.21
où D eff
0 (A ) est le préfacteur de la diffusivité :
D eff
0 (A ) =
D 0 m (AX ) ⋅ D 0 m (X )
,
D 0 rupt (AX )
Eq. I.22
avec D 0 m (AX ) le préfacteur de migration de la paire AX, D 0 m (X ) le préfacteur de migration du défaut
X, et D 0 rupt (AX ) le préfacteur de rupture de la paire AX ;
et E eff (A ) est l’énergie d’activation de la diffusion :
E eff (A ) = E m (AX ) + E f (X ) − E l (AX ) .
Eq. I.23
avec E m (AX ) l’énergie de migration de la paire AX, E f (X ) l’énergie de formation du défaut X, et
E l (AX ) l’énergie de liaison de la paire AX.
I.2.2.5
Les agglomérats impliquant les impuretés
Comme dans tout solide, les impuretés dans le silicium ont une solubilité limite. Cette grandeur
représente la concentration locale maximale qu’une impureté peut atteindre avant de précipiter. Si la
concentration dépasse ce seuil, alors l’impureté forme des agglomérats. La plupart du temps – mais pas
systématiquement, ces agglomérats d’impuretés se composent également d’interstitiels ou de lacunes. Ces
agglomérats de composition mixte sont aussi appelés complexes. Dans le cas des dopants, les atomes au
sein des agglomérats ne sont plus liés à exactement quatre atomes de silicium (condition de solubilité) : ils
ne sont donc actifs ni chimiquement, ni, conséquemment, électriquement.
Et c’est donc cette précipitation qui conduit à la désactivation des dopants dans le silicium, car la fraction
d’atomes agglomérés peut être significative. Par ailleurs, on observe dans certaines conditions hors –
équilibre que les dopants se désactivent à des concentrations inférieures au seuil de solubilité limite,
quand les interstitiels et /ou les lacunes sont en sursaturation. C’est notamment le cas du bore après
implantation ionique [Stolk97]. Nous allons tout d’abord parler de cet exemple du bore, qui forme des
agrégats avec des interstitiels, puis de l’arsenic, qui se s’agglomère plutôt avec les lacunes. Le cas des
autres dopants sera abordé succinctement dans un troisième paragraphe.
I.2.2.5.1 Agglomérats impuretés – interstitiels : l’exemple du bore
La désactivation du bore sous le seuil de solubilité limite est due à la sursaturation d’interstitiels présente
après une implantation ionique. Le bore étant le dopant du silicium le plus étudié depuis trente ans, les
agglomérats qu’il forme avec les interstitiels ont eu droit à leur propre petit nom : ce sont les BICs, de
l’anglais Boron – Interstitials Clusters.
L’explication la plus vraisemblable a été proposée par Pelaz et al. dans [Pelaz99a]. Nous avons déjà vu
que du pur point de vue chimique, la paire bore – interstitiel et le bore en position interstitielle étaient
équivalents (paragraphe I.2.2.3.1). Pour plus de simplicité, nous n’évoquerons donc ici que les paires bore
– interstitiel (BI), les mécanismes étant les mêmes pour le bore en site interstitiel.
46
Chapitre I
Physique des défauts et de la diffusion
L’agrégat précurseur se forme lors de la réaction entre un interstitiel et une paire bore – interstitiel, qui
donne BI2 :
BI + I → BI 2 .
Eq. I.24
Au cours du recuit, ce premier complexe va capter une paire bore – interstitiel :
BI 2 + BI → B 2 I 3 .
Eq. I.25
L’agglomérat B2I3 n’étant pas très stable, il va rapidement émettre un interstitiel, formant alors le
complexe B2I2 :
B2 I 3 → B2 I 2 + I .
Eq. I.26
A son tour B2I2 va capturer une paire BI :
B 2 I 2 + BI → B 3 I 3 ,
Eq. I.27
puis B3I3 également :
B3 I 3 + BI → B4 I 4 .
Eq. I.28
Au-delà de cette taille les agglomérats sont moins stables ; le passage d’une paire dans le rayon
d’interaction de l’agglomérat ne donnera donc lieu à aucune réaction. Le système préfèrera former de
nombreux agglomérats de type B4I4.
Ensuite, quand au cours du recuit la sursaturation d’interstitiels va diminuer, les complexes vont
commencer à se dissoudre en émettant des auto-interstitiels de silicium :
B4 I 4 → B4 I 3 + I ,
Eq. I.29
B4 I 3 → B4 I 2 + I ,
Eq. I.30
B4 I 2 → B4 I + I .
Eq. I.31
En revanche, le complexe B4 est particulièrement instable. Cela peut s’expliquer par le fait que quatre
atomes de bore voisins les uns des autres, chacun occupant moins d’espace qu’un atome de silicium, ont
trop tendance à déformer le réseau ; la présence d’un interstitiel est nécessaire pour compenser cette
espace vacant dans le cristal. C’est pourquoi B4I va plutôt émettre une paire BI :
B4 I → B3 + BI .
Eq. I.32
Encore une fois B3 est peu stable, et dès qu’un interstitiel passera à proximité, il sera capturé :
B 3 + I → B3 I .
Eq. I.33
Le complexe B3I est quant à lui l’un des plus stables parmi les agglomérats de bore. Il lui arrive cependant
d’émettre une paire BI, pour former B2. Ce dernier peut à nouveau capturer une paire, et reformer B3I ;
mais il peut également capturer un interstitiel :
B2 + I → B2I .
Eq. I.34
L’énergie de formation de B2I est relativement élevé, de sorte que l’émission d’une paire est à nouveau
probable ; il reste alors un atome de bore en position substitutionnelle, c'est-à-dire actif :
B 2 I → B + BI .
Eq. I.35
47
Chapitre I
Physique des défauts et de la diffusion
Nous avons donc décrit ici la formation des agglomérats de bore et interstitiels après une implantation
ionique, donc en condition initiale de sursaturation en interstitiels : c’est en effet le cas qui nous
intéressera dans la suite des travaux, lorsque nous étudierons les procédés de fabrication des jonctions
fines. Pour résumer, les complexes de bore se forment en absorbant une grande quantité d’interstitiels de
silicium, afin de compenser la sursaturation ; puis, quand la concentration en interstitiels est assez faible,
ils se dissolvent en émettant d’abord des interstitiels, et enfin des paires bore – interstitiel.
I.2.2.5.2 Agglomérats impuretés – lacunes : l’exemple de l’arsenic
Au contraire du bore, l’arsenic forme des complexes avec les lacunes lors de sa désactivation. Les lacunes
aident à compenser le fort encombrement d’un agglomérat d’atomes volumineux d’arsenic.
Le complexe d’arsenic le plus stable est formé de quatre atomes d’arsenic et d’une lacune. Cette stabilité a
été démontrée par Pandey et al. par calcul ab initio [Pandey88], puis confirmée par Luning et al.
[Luning92], par modélisation de leurs expérimentations. Intuitivement ce complexe est facile à
imaginer, puisque les quatre atomes d’arsenic forment un tétraèdre autour d’une lacune. La présence de la
lacune permet, comme on l’a dit précédemment, de minimiser l’énergie élastique introduite par
l’agglomérat. Le complexe As4V est stable au point que sa formation peut se produire par la génération
d’une paire de Frenkel : c’est le mécanisme de Frank – Turnbull. La lacune formée reste liée à
l’agglomérat, et l’interstitiel diffuse dans le silicium. Cet effet a été mis en évidence par Rousseau et al. :
lors de leur expériences, la désactivation d’arsenic en surface de l’échantillon entraîne une diffusion
accélérée d’un profil de bore enterré [Rousseau94, Rousseau96].
Les autres agglomérats ont malgré tout une importance non négligeable dans l’activation et la
désactivation de l’arsenic. Parmi eux, As3V, As2V, ainsi que As2, As3 et As4 sont plus ou moins stables
[Hane96, Fair73, Mathiot83, Yoshida80, Mathiot95].
I.2.2.5.3 Les autres dopants : avec quels défauts ?
Le phosphore forme des agglomérats préférentiellement avec des lacunes [Takamura02a/02b,
Chadi97, Kim03]. Cependant, certains auteurs [Schroer99, Uematsu99, Keys01] ont démontré
l’existence de complexes phosphore – interstitiels, parfois appelés PICs (Phosphorous – Interstitials
Clusters) par analogie avec les BICs.
La désactivation de l’indium a rarement été attribuée à la formation d’agglomérats. Les études sur le sujet
portaient préférentiellement sur le phénomène du gel des porteurs (cf. paragraphe I.2.2.1).
Quant à l’antimoine, il semble que les agglomérats qu’il forme contiennent des lacunes [Allain92,
Chadi97, NylandstedLarsen97]. Ceci peut être justifié de manière intuitive : comme l’antimoine est un
atome très volumineux, la présence de lacunes à sa proximité compense les distorsions du cristal.
En ce qui concerne les impuretés non dopantes, on pourra retenir que le carbone forme des aggrégats
avec les interstitiels [Stolk97], tandis que le fluor s’associe avec les lacunes [Impellizzeri06].
I.2.3
48
Les effets conduisant à l’anisotropie du milieu (silicium)
Chapitre I
Physique des défauts et de la diffusion
I.2.3.1
Les effets de charge(s)
L’anisotropie de la diffusion induite par les effets de charges provient de la présence d’un champ
électrique, qui va privilégier une direction de migration/saut pour les espèces chargées. Nous allons
maintenant expliquer comment.
Le niveau de dopage du silicium modifie la position du niveau de Fermi dans le gap du silicium. Dans le
silicium pur (intrinsèque) le niveau de Fermi se situe à la moitié du gap. Plus le silicium est dopé, plus le
niveau de Fermi se trouve proche de la bande de conduction (dopage de type n) ou de la bande de
valence (type p). Le niveau de Fermi est donc une grandeur locale, qui peut varier sur quelques
angströms.
Les particules mobiles dans le silicium (interstitiels, lacunes, paires impuretés – défauts cristallins, etc…)
possèdent différents états de charge. Si l’on prend comme exemple la lacune, elle existe d’abord à l’état
neutre, mais le site vacant dans le cristal peut piéger un ou deux porteurs de charge, que ce soient des
électrons ou des trous. Ainsi la lacune peur exister sous les formes V0, V-, V--, V+ et V++.
Hormis après une implantation ionique (voir paragraphe I.1.2.3.3) ou pendant un recuit sous atmosphère
non neutre (voir paragraphe I.2.5.2), la concentration de lacunes neutres dépend de l’énergie de
formation de celles-ci. Cette relation est décrite dans le paragraphe I.1.2.3.1(équation Eq. I.4). Par ailleurs
les concentrations des espèces chargées sont interdépendantes. On considère que les concentrations
relatives des espèces chargées sont toujours à l’équilibre, car les réactions d’échange électronique sont
beaucoup plus rapides que les réactions impliquant des mouvements atomiques [Rafferty97].
De même que pour l’état neutre, la concentration du défaut dans un état de charge donné « i » dépend de
l’énergie de formation de ce défaut dans cet état de charge :
 E (X i ) 
 .
= θ X i ⋅ C Si ⋅ exp − f
Eq. I.36
 k⋅T 
CSi est le nombre de sites disponibles dans le cristal de silicium ; θ X i est le nombre de degrés de liberté
[X ]
i éq
du défaut dans le site cristallin, supposé à la même valeur pour tous les états de charge [Fahey89].
Les énergies de formation E f (X i ) des espèces chargées dépendent du niveau de Fermi. Si la charge est
simplement positive (+1), l’énergie de formation s’exprime ainsi en fonction de l’énergie de formation
E f (X 0 ) de l’état neutre :
E f (X + ) = Ef (X 0 ) + e F − e(+ ,0 ) ,
Eq. I.37
où eF est le niveau de Fermi. Par ailleurs e(+,0) est la valeur du niveau de Fermi au-delà de laquelle l’état
neutre deviendra plus stable que l’état chargé positivement. C’est le niveau énergétique de transition entre
X+ et X0. Si le défaut X+ diffuse d’un endroit où le niveau de Fermi est inférieur à e(+,0) vers une zone
où le niveau de Fermi est supérieur à e(+,0), le défaut va capturer un électron et ainsi passer à l’état
neutre.
Si l’on généralise la relation Eq. I.37, on obtient l’énergie de formation d’un défaut dans l’état de charge i :
E f (X i +1 ) = E f (X i ) + e F − e(i + 1, i ) ,
Eq. I.38
49
Chapitre I
Physique des défauts et de la diffusion
Ainsi il est aisé de calculer les concentrations relatives des défauts dans leurs différents états de charge, en
fonction du niveau de Fermi :
[X ] = exp − e
[X ]

i +1
i
F
− e(i + 1, i ) 
.
k⋅T

Eq. I.39
Chaque espèce chargée a ses propres caractéristiques de diffusion ; les énergies de migration notamment
diffèrent d’un état de charge à l’autre [Watkins00]. Pour qu’un état de charge assure la diffusion du
défaut, il faut à la fois que son énergie de migration Em soit faible (diffusivité élevée) et que son énergie
de formation Ef soit petite ( « forte » concentration). On peut donc définir l’énergie de diffusion Ediff
d’un défaut chargé :
E diff = E m + E f ,
Eq. I.40
qui représente la barrière à la diffusion du défaut.
Selon le niveau de Fermi (local), l’espèce dont l’énergie de diffusion sera la plus faible sera responsable de
la plus grande part de la diffusion : la diffusion sera assurée plutôt par un état de charge ou plutôt par un
autre. De plus, en présence d’un champ électrique, une force s’applique sur les espèces chargées ; celles-ci
auront donc une direction de migration privilégiée. C’est le cas au niveau de la zone de charge d’espace
d’une jonction pn.
Ainsi on a bien une anisotropie du milieu en présence d’un champ électrique, laquelle aura une influence
sur la diffusion. La Figure I.21 représente schématiquement la probabilité de migration (échange avec un
atome de silicium voisin pour la lacune par exemple) en fonction de la direction dans l’espace
bidimensionnel.
Champ électrique
X-
²
Figure I.21. Schéma de la probabilité de saut d’une particule chargée négativement en fonction de la direction
dans l’espace bidimensionnel : la longueur de la flèche est représentative de cette probabilité dans la direction
qu’elle indique
Prenons l’exemple, typique, du bore formant une jonction avec un profil abrupt superficiel d’arsenic. On
observe expérimentalement que le bore, au cours de la diffusion, a tendance à déserter la zone de charge
d’espace, et à s’accumuler au niveau du profil d’arsenic. C’est que dans les zones dopées n+, où le niveau
de Fermi est à plus d’environ 0.9 eV de la bande de valence, ce sont les paires chargées négativement qui
assurent la diffusion (elles ont la somme énergie de formation plus énergie de migration Ef + Em le plus
faible). Elles ont donc tendance à diffuser dans le sens opposé au champ électrique, donc à se diriger vers
50
Chapitre I
Physique des défauts et de la diffusion
la zone plus fortement dopée n en désertant la zone de charge d’espace. Quand le niveau de Fermi est
plus bas que cette valeur de 0.9 eV, ce sont les paires chargées positivement qui sont responsables de la
diffusion du bore. Ainsi le bore aura à nouveau tendance à déserter la zone de charge d’espace, se
« dirigeant » cette fois vers la zone dopée plus fortement p. On pourra trouver plus de détails dans
[MartinBragado04a]. La Figure I.22 montre un profil de bore ayant subi cet effet. On remarquera une
désertion du profil au niveau de la jonction métallurgique, et une accumulation de chaque côté de celle-ci.
1.E+22
SIMS As Recuit
Concentration (cm-3)
1.E+21
SIMS B Recuit
1.E+20
1.E+19
1.E+18
1.E+17
1.E+16
0
20
40
60
80
100 120 140 160 180 200
Profondeur (nm)
Figure I.22. Effets de charge sur la diffusion du bore dans la zone de charge d’espace : désertion du bore dans
celle-ci et accumulation de chaque côté de la jonction.
I.2.4
Les interfaces
Jusqu’à présent, nous avons considéré la diffusion dans un matériau de taille infinie. En pratique, les
interfaces jouent un rôle dans la diffusion, de plus en plus prépondérant au fur et à mesure que les
jonctions sont plus fines.
En premier lieu, il convient de remarquer que le silicium ne présente jamais une surface pure,
directement en contact avec l’atmosphère ambiante. Il se forme toujours une couche d’oxyde natif à sa
surface, d’environ 1 à 2 nm d’épaisseur. Nous allons donc nous intéresser dans cette partie à ce qui se
passe à l’interface entre le silicium et son oxyde, la silice (SiO2).
Nous allons tout d’abord nous pencher sur la façon dont les défauts cristallins ponctuels interagissent
avec une interface, puis nous aborderons le cas des impuretés.
I.2.4.1
La recombinaison des interstitiels et des lacunes
Loin d’être parfaite, l’interface oxyde – silicium présente des liaisons pendantes, qui sont autant de pièges
pour les porteurs de charge d’une part, et pour les défauts cristallins d’autre part. Il ressort en effet des
observations expérimentales que cette interface est un puits parfait pour les lacunes et les interstitiels
[Lamrani04]. En ce qui concerne les interstitiels, il est naturel de concevoir que lorsqu’ils seront piégés à
l’interface, il se positionneront de manière à reconstruire le réseau cristallin du silicium. L’atome de
51
Chapitre I
Physique des défauts et de la diffusion
silicium lui-même ne disparaît donc pas, mais d’interstitiel il devient substitutionnel. C’est pourquoi on
dit que les interstitiels se recombinent à l’interface, puisqu’ils se comportent comme s’ils avaient interagi
avec une lacune, en se repositionnant dans la structure du cristal.
Il en est de même pour les lacunes, qui vont générer une liaison pendante en se « recombinant » à
l’interface. Elle ne sera pas annihilée dans le sens où aucun atome de silicium ne sera généré, mais la
lacune sera alors absente du silicium massif.
La Figure I.23 explique schématiquement la capture d’un interstitiel et d’une lacune par l’interface pour
un réseau bidimensionnel.
SiO2 (amorphe)
SiO2 (amorphe)
Liaison pendante
interstitiel
(a) Capture d’un interstitiel par une liaison pendante à l’interface Si/SiO2
SiO2 (amorphe)
lacune
SiO2 (amorphe)
Liaison pendante
(b) Capture d’une lacune par l’interface Si / SiO2
Figure I.23. Explication schématique de la capture d’un interstitiel (a) et d’une lacune (b) par l’interface
silicium cristallin – oxyde de silicium amorphe.
I.2.4.2
La génération des interstitiels et des lacunes
Nous avons vu plus haut que des paires de Frenkel sont générées au sein du silicium massif (paragraphe
I.1.2.3.1). De même, il est possible qu’un atome de silicium situé à l’interface avec l’oxyde se place en
position interstitielle et diffuse dans le cristal, laissant derrière lui une liaison pendante. Dans ce cas, un
interstitiel a été généré par l’interface.
Ici encore, le constat est le même pour les lacunes : rien n’empêche un atome de silicium du cristal, situé
non loin de la surface, de s’accrocher à la liaison pendante. La lacune est alors formée dans le cristal et est
libre de diffuser.
52
Chapitre I
Physique des défauts et de la diffusion
Les mécanismes de génération des défauts cristallins ponctuels sont donc les mécanismes inverses de
recombinaison décrits sur la Figure I.23.
I.2.4.3
La ségrégation des impuretés : capture et émission
La ségrégation des impuretés est également due à la présence de liaisons pendantes aux interfaces. Une
impureté mobile, par exemple en position interstitielle, peut être capturée à l’interface, selon les mêmes
mécanismes que les interstitiels par exemple. En fonction de la nature des impuretés, l’interface pourra
accumuler plus ou moins d’atomes par unité de surface. On observera alors une ségrégation plus ou
moins importante de cette espèce à l’interface.
Par ailleurs, les atomes étrangers peuvent également s’échapper des pièges, c'est-à-dire être émis par
l’interface silicium – oxyde. Certes, mais alors : dans l’oxyde ou dans le silicium ? Eh ! bien, cela va
dépendre de la solubilité de l’impureté dans chacun de ces deux matériaux, et de sa concentration à
proximité de l’interface. On sait par exemple que le fluor préfère s’accumuler dans l’oxyde ; mais si la
concentration en fluor est trop élevée, l’oxyde ne sera plus en mesure d’en « absorber ». L’émission de
fluor de l’interface vers l’oxyde sera donc réduite, voire bloquée.
Par conséquent, si la diffusivité de l’impureté considérée est très faible dans un des matériaux jouxtant
l’interface, celui-ci sera rapidement saturé en cette impureté, localement. Quand l’impureté sera relâchée,
ce sera donc dans l’autre matériau.
I.2.4.4
Perte de dose et exo-diffusion des impuretés
Lorsque le coefficient de diffusion de l’impureté dans l’oxyde est assez élevé, la concentration locale à
proximité de l’interface n’est jamais maximale, car il se crée un gradient de concentration de l’impureté
dans l’oxyde. Les impuretés diffusent alors dans l’oxyde, et s’éloignent donc petit à petit de l’interface,
diminuant la probabilité d’être recapturées et réinjectées dans le silicium. La dose présente dans le
silicium à la fin du procédé de fabrication est donc moindre qu’au début : une partie en est perdue.
Par ailleurs, il peut se passer la même chose à l’interface entre l’oxyde et l’atmosphère ambiante, c'est-àdire à la surface de l’échantillon. L’impureté peut s’évaporer dans la chambre de recuit, et disparaître
totalement de l’échantillon. C’est ce phénomène qui est appelé exodiffusion. Il est d’autant plus marqué
que la pression partielle en l’impureté est souvent nulle (on ne trouve initialement pas de bore, d’arsenic
ou de carbone dans l’atmosphère de recuit).
I.2.5
Les phénomènes perturbant la diffusion à l’équilibre
Nous avons jusqu’ici exposé les mécanismes atomistiques régissant l’évolution des défauts cristallins,
ponctuels et étendus, du silicium, ainsi que la diffusion des impuretés. Nous allons voir maintenant que
cette dernière a souvent un comportement perturbé.
I.2.5.1
La diffusion accélérée et transitoire
53
Chapitre I
Physique des défauts et de la diffusion
La diffusion accélérée et transitoire est connue sous le nom de TED, de l’anglais Transient Enhanced
Diffusion. Le défi a été, depuis plus d’une décennie maintenant, de réduire, voire supprimer cette TED,
particulièrement remarquée pour le bore.
Ce phénomène a été observé dès les années 1970 puis 1980 [Hofker74, Hodgson84], mais son
explication n’est apparue clairement que plus tard, vers le milieu des années 1990 [Eaglesham94,
Stolk97]. La TED a principalement été étudiée dans le cas du bore, et c’est avec cet exemple que nous
allons l’expliquer ici. Mais elle existe également pour tous les dopants diffusant via les interstitiels.
Expérimentalement, on observe que la diffusion du bore introduit par implantation ionique est
anormalement élevée pendant les premiers moments du recuit : le coefficient de diffusion effectif du
bore dépasse largement (de plusieurs ordres de grandeur) sa valeur d’équilibre. Mais après un certain
temps de recuit, dépendant de la température, cette accélération cesse.
Les clefs permettant d’expliquer ce phénomène ont déjà été données dans les paragraphes précédents.
D’abord, le bore diffuse par une réaction d’appariement avec un auto-interstitiel de silicium (paragraphe
I.2.2.3.1). Ensuite, l’introduction des dopants par implantation ionique génère des interstitiels en excès
dans le silicium (paragraphe I.1.2.3.3). Enfin, les défauts étendus formés pendant le recuit entretiennent
une sursaturation d’auto-interstitiels à leur proximité (paragraphe I.1.2.5.1.3).
L’implantation ionique génère une quantité d’interstitiels en excès (encore présents après la
recombinaison des paires de Frenkel) bien supérieure à leur concentration d’équilibre. Ainsi, aux
premiers instants du recuit, les atomes de bore ont une probabilité très grande d’interagir avec les
interstitiels libres générés par l’implantation, et par là même de diffuser. Quand les défauts étendus se
sont formés, d’abord les petits agglomérats puis les défauts {311}, ils induisent à leur tour une
concentration anormalement élevée d’interstititels, augmentant aussi la diffusion du bore par rapport aux
conditions d’équilibre. Quand les défauts {311} se transforment en boucles de dislocation, celles-ci étant
beaucoup plus stables, la sursaturation chute, la TED est déjà moins marquée. Quand, au lieu de se
transformer en boucles de dislocation, les défauts {311} se sont dissous, alors la sursaturation disparaît et
la diffusion du bore retrouve sa grandeur d’équilibre. La TED est alors terminée.
Bien sûr, c’est ce caractère transitoire qui a valu son nom à la TED !
I.2.5.2
La diffusion sous atmosphère non neutre
I.2.5.2.1 Atmosphère oxydante
Quand le recuit est effectué sous atmosphère oxydante, un oxyde croît sur le substrat de silicium. Lors de
cette croissance, des interstitiels de silicium sont injectés dans le substrat. En effet, une petite partie du
silicium n’est pas oxydée pendant la réaction. Les atomes de silicium non oxydés sont injectés dans le
cristal parfait, et, du fait de leur nature interstitielle, diffusent rapidement dans le substrat [Hu74]. C’est
ainsi que, pendant un recuit oxydant, la diffusion des impuretés formant des paires avec les interstitiels
sera augmentée, tandis que la diffusion des impuretés formant des paires avec les lacunes sera réduite, car
la quantité de lacunes va diminuer du fait de leurs recombinaisons plus fréquentes avec des interstitiels.
54
Chapitre I
Physique des défauts et de la diffusion
I.2.5.2.2 Atmosphère nitrurante
Un recuit nitrurant provoque la croissance d’une couche de nitrure à la surface du silicium. Cette
croissance va injecter des lacunes dans le substrat, bien que les mécanismes menant à ce phénomène
n’aient pas été clairement expliqués [Mizuo83]. L’effet de la croissance d’un nitrure sur la diffusion des
impuretés est donc inverse au cas précédent : la diffusion des impuretés formant des paires avec les
interstitiels sera réduite, et la diffusion des impuretés formant des paires avec les lacunes sera augmentée.
I.2.5.3
La co-implantation
Le principe de la co-implantation est, comme son nom le suggère, d’introduire des impuretés dans le
silicium en même temps que les dopants, et également par implantation ionique. Nous distinguerons
deux types de co-implantation : celles qui ont pour unique but d’amorphiser le silicium, et celles où des
éléments sont introduits pour leurs effets propres.
I.2.5.3.1 La co-implantation amorphisante et la recristallisation
En vue d’amorphiser la surface du substrat, on implante des éléments relativement lourds, et n’ayant
aucune influence directe sur les propriétés du silicium. Le plus couramment utilisé est le germanium, de
la même colonne que le silicium et de masse atomique 72.61, dont la solubilité illimitée permet d’éviter la
formation d’agglomérats. On utilise également le xenon, de masse plus élevée (131.29), mais aussi,
parfois, le silicium lui-même (28.09). Plus l’ion sera lourd, plus la densité de défauts générée sera élevée.
L’objectif de cette implantation est d’effectuer une préamorphisation, c'est-à-dire d’amorphiser le
substrat avant l’implantation du dopant.
Cela permet d’abord de supprimer les effets de canalisation (voir paragraphe I.1.2.3.3). Le profil
obtenu est alors moins étalé, particulièrement en queue d’implantation ; sa profondeur est mieux
contrôlée.
Au cours du recuit, la couche amorphe va recristalliser. On appelle cela la croissance par épitaxie en
phase solide, ou SPER pour Solid Phase Epitaxial Regrowth. La recristallisation commence toujours d’un
« germe » de cristal, celui-ci étant le plus souvent l’interface – même silicium cristallin / silicium amorphe.
Une couche correctement recristallisée ne présente aucun défaut.
Après la recristallisation du silicium, on obtient une limite de solubilité des impuretés plus élevée que
dans le silicium natif. Cela permet donc d’augmenter l’activation des dopants, jusqu’à des
concentrations dépassant celles obtenues à l’équilibre thermodynamique. Les dopants étant alors dans un
état métastable, il faut ensuite prendre garde à la désactivation pendant les budgets thermiques suivants.
Le système tend à rejoindre l’équilibre, donc le dopant à se désactiver. La concentration d’atomes
étrangers placés en position substitutionnelle par la recristallisation est limitée ; les impuretés restantes
sont « déposées » sous forme de complexes. Cependant, la quantité totale d’atomes étrangers insérés dans
le réseau, sous une forme ou sous une autre, est également limitée. Cette limite dépend de l’espèce
considérée. Evidemment, si la concentration du dopant ne la dépasse pas, aucun effet ne sera noté. Mais
le phénomène de « raclette » a été observé pour certains dopants volumineux, tels l’arsenic et l’indium
55
Chapitre I
Physique des défauts et de la diffusion
[Duffy06]. On observe alors que les profils de dopants sont repoussés vers la surface au fur et à mesure
de la progression du front de recristallisation, comme par un effet de raclette.
Au-delà de l’interface cristal / amorphe, il reste à la fin de l’implantation une grande quantité de défauts.
Ce sont les défauts de fin de parcours (EoR, de End of Range), constitués de paires de Frenkel et
d’interstitiels en excès. Au début du recuit, les paires de Frenkel se recombinent ; il reste donc les
interstitiels en excès, responsables de la diffusion accélérée et transitoire de certains dopants. Mais si
l’amorphisation est suffisamment profonde, ces interstitiels sont repoussés assez loin des dopants pour
que la TED soit atténuée.
Pendant la recristallisation, la majeure partie, sinon la totalité des atomes implantés se placent en position
substitutionnelle. Or nous avons vu : d’une part, que chaque impureté se plaçant en position
substitutionnelle éjectait un atome de silicium de son site cristallin, donc « créait » un interstitiel ; d’autre
part que la recristallisation reformait un cristal parfait. Cela peut paraître contradictoire de prime abord,
mais ne l’est nullement. Ces atomes de silicium dont le site cristallin est occupé par un atome implanté
(impureté ou silicium) sont comme repoussés par le front de recristallisation, et ce jusqu’à la surface, car
rien n’empêche le silicium de recristalliser jusqu’à l’interface silicium / oxyde. D’une certaine manière, on
peut considérer la recristallisation complète jusqu’à l’interface comme une recombinaison à l’interface des
interstitiels qui étaient en excès dans la zone initialement amorphe.
Il est cependant un cas de figure particulier que nous allons à présent expliquer, qui est celui d’une
couche amorphe enterrée. Pour que cela arrive il faut que le profil de défauts soit peu étalé, et
suffisamment éloigné de la surface. Dans ce cas, la concentration de défauts ne dépasse le seuil
d’amorphisation qu’aux alentours de la distance parcourue projetée. C’est une situation qui est plus
courante avec les ions lourds et, surtout, implantés à des hautes énergies. Il y a alors deux interfaces
amorphe / cristal, à partir desquelles la recristallisation progresse. Quand les deux fronts de
recristallisation se rejoignent au centre de la zone initialement amorphe, les interstitiels en excès poussés
par les fronts de recristallisation ne trouvent pas d’interface pour se recombiner ; ou, plus exactement, le
réseau n’est pas en mesure d’intégrer l’excès d’atomes en l’absence de surface du silicium. Les interstitiels
en excès sont donc déposés et forment une bande de défauts au centre de la région initialement
amorphe.
I.2.5.3.2 La co-implantation d’éléments légers
Afin de modifier, si possible dans le bon sens, l’activation et la diffusion des dopants, il arrive que l’on
implante des espèces non dopantes sans objectif d’amorphisation du substrat. Nous allons ici nous
intéresser à leurs effets sur la diffusion, qui sont les plus recherchés. Ces petits éléments, utilisés pour
leurs propriétés chimiques vis-à-vis des dopants, sont cependant souvent associés à la préamorphisation
par des éléments lourds. On parle alors de cocktails d’implantation. Comme nous allons le voir, il est en
effet intéressant de placer les espèces co-implantées entre le profil de dopants et les interstitiels situés
derrière l’interface amorphe / cristal. De plus les effets de ces espèces co-implantées sont maximaux
après recristallisation. Nous allons l’illustrer par les deux exemples de co-implantation les plus classiques,
56
Chapitre I
Physique des défauts et de la diffusion
le carbone et le fluor, en expliquant pourquoi, dans certaines conditions, ils sont à même de réduire la
diffusion du bore.
Le carbone est parfaitement soluble dans le silicium (dans la gamme des concentrations usuelles en
technologie CMOS). Pendant la recristallisation, tous les atomes de carbone s’insèrent donc en site
substitutionnel. Dans le cas idéal, le profil de carbone est situé dans la zone amorphe, entre le profil de
dopant – proche de la surface, et les défauts de fin de parcours. Ce point de départ est expliqué
Concentration
schématiquement sur la Figure I.24.
Atome de carbone
Profondeur
Atome de silicium interstitiel des défauts de fin de parcours
Atome de dopant (exemple : bore)
Figure I.24. Illustration schématique de carbone placé entre le profil de dopant et les défauts de fin de parcours.
Pendant le recuit, les interstitiels vont diffuser à la fois en profondeur et vers la surface. Quand ils vont
rencontrer les atomes de bore, ils vont former des paires, avec ceux-ci, et le profil de bore va diffuser.
Bien qu’elle soit réduite du fait de l’éloignement des interstitiels, il s’agit toujours de diffusion accélérée et
transitoire. En présence de carbone substitutionnel entre le profil de bore et les défauts de fin de
parcours, les interstitiels vont être capturés par les atomes de carbone pour former des agglomérats
mixtes carbone – interstitiels (voir paragraphe I.2.2.5.3)., comme expliqué schématiquement sur la
Figure I.25. Ainsi les interstitiels seront en partie bloqués par le carbone, et la diffusion accélérée et
transitoire sera fortement réduite. Plus la dose de carbone sera grande, plus le nombre d’interstitiels
bloqués sera important, donc plus le carbone sera efficace pour lutter contre la diffusion du bore. Les
complexes qui se forment sont préférentiellement de type C3I3 ; on compte donc en général que chaque
atome de carbone substitutionnel est capable de capturer un silicium interstitiel.
57
Physique des défauts et de la diffusion
Concentration
Chapitre I
Atome de carbone
Profondeur
Atome de silicium interstitiel des défauts de fin de parcours
Atome de dopant (exemple : bore)
Figure I.25. Résultat : le carbone substitutionnel capture les interstitiels des défauts de fin de parcours pour
former des agglomérats complexes carbone – interstitiels.
En ce qui concerne le fluor, sa solubilité limite dans le silicium est faible, même après une recristallisation.
Au cours de cette dernière, il se forme donc des complexes de fluor, ce dernier s’associant à des lacunes
(voir paragraphe I.2.2.5.3). Quand les interstitiels vont interagir avec ces complexes, ils vont se
recombiner avec les lacunes, et les agglomérats fluor – lacunes vont se dissoudre petit à petit. Les atomes
de fluor libérés pourront ensuite diffuser librement dans le silicium. Les Figure I.26 et Figure I.27
représentent respectivement la présence de complexes fluor – lacunes entre le bore et les défauts de fin
de parcours après recristallisation, et l’effet de ces lacunes sur la recombinaison des interstitiels diffusant
vers la surface.
58
Physique des défauts et de la diffusion
Concentration
Chapitre I
Atome de fluor
Profondeur
Atome de silicium interstitiel des défauts de fin de parcours
Atome de dopant (exemple : bore)
Lacune
Concentration
Figure I.26. Illustration schématique de fluor placé entre le profil de dopant et les défauts de fin de parcours.
Atome de fluor
Profondeur
Atome de silicium interstitiel des défauts de fin de parcours
Atome de dopant (exemple : bore)
Lacune
Recombinaison lacune – interstitiel
Figure I.27. Illustration schématique de fluor placé entre le profil de dopant et les défauts de fin de parcours.
Ainsi le carbone et le fluor permettent de limiter la diffusion accélérée et transitoire de dopants qui
diffusent via les interstitiels. Pour cela, ces petits éléments doivent être associés à une préamorphisation
du substrat et être placés, après implantation, entre les défauts de fin de parcours et les dopants dont on
59
Chapitre I
Physique des défauts et de la diffusion
veut modifier le comportement. Les interstitiels des défauts de fin de parcours sont de cette façon en
partie capturés par l’élément co-implanté, et la diffusion du dopant est réduite.
60
Chapitre II
La simulation atomistique
Chapitre II.
LA SIMULATION ATOMISTIQUE
II.1 La simulation des
différentes échelles
procédés
:
différentes
approches
pour
La simulation est principalement le fruit de deux étapes : la modélisation des phénomènes observés, et
l’application de ces modèles aux systèmes étudiés.
En microélectronique, les expériences sur la fabrication des dipositifs présentent un coût élevé en temps
et en argent, et ce d’autant plus que la taille des transistors est réduite et que les technologies de
fabrication deviennent complexes. C’est pour cette raison que le développement d’une nouvelle
technologie est si coûteux. Il est donc judicieux de recourir à des simulations numériques, afin de limiter
le nombre d’expériences nécessaires à la mise au point des dispositifs.
Par ailleurs, la simulation permet de s’affranchir de certains paramètres expérimentaux incontrôlés, et
d’avoir accès à des informations qui ne sont pas aisément, voire pas du tout, mesurables
expérimentalement.
De nombreuses méthodes ont été développées pour simuler ces procédés de fabrication. Toutes ne sont
pas basées sur les mêmes principes, et leurs applications diffèrent donc en fonction de leurs « capacités ».
Il est à la fois commode et pertinent de classer les différentes méthodes de simulation en fonction des
échelles de procédés qu’elles sont capables de traiter. Echelle spatiale d’abord : simulation de quelques
atomes ou de systèmes macroscopiques ? Echelle temporelle ensuite, le temps de l’expérience simulée
pouvant varier de l’ordre de la picosconde à l’ordre de l’heure. La Figure II.1 résume ce classement.
61
Taille du système
Chapitre II
La simulation atomistique
TH
E
M
E
OD
S
ES
U
IQ
T
S
MI
O
AT
Continu
…
seconde – …
Monte Carlo
1E6 atomes
seconde – heure
Dynamique
moléculaire
1x105 atomes
nanoseconde
Ab initio
5 – 100 atomes
picoseconde
Durée de l’expérience
Figure II.1. Classement des différentes méthodes de simulation des procédés en fonction de la taille des
systèmes et des temps de procédés qu’elles peuvent traiter.
Il est possible de simuler tous les procédés de fabrication, des implantations aux dépôts et aux recuits, en
passant par les gravures, avec plus ou moins de précision. Le temps de calcul nécessaire à la simulation
numérique dépend directement du degré de précision souhaité. Ainsi, il y a toujours un compromis à
rechercher entre temps de calcul et précision du résultat. Chaque méthode de la Figure II.1 représente
déjà un choix en vue de ce compromis.
Dans cette étude, nous nous intéressons à la diffusion des dopants et à l’évolution des défauts cristallins
pendant le procédé de fabrication. Nous allons donc présenter brièvement les différentes méthodes
existant pour simuler ces phénomènes, avant de nous pencher plus en détail sur celle que nous avons
choisie pour ce travail, et de justifier ce choix.
II.1.1 La simulation continue par résolution des équations aux dérivées
partielles
II.1.1.1 Première approche de la diffusion : les lois de Fick
La diffusion a d’abord été modélisée par Fick, au milieu du XIXème siècle [Fick1855]. Sa théorie repose
sur les relations entre les concentrations et le flux d’une particule, et permet, dans une première
approximation, de prédire l’évolution spatiale de ces deux grandeurs avec le temps.
La première équation de Fick pose une relation de proportionnalité entre le flux d’un type de particule et
son gradient de concentration :
φ = − D ⋅ grad(C ) ,
62
Eq. II.1
Chapitre II
La simulation atomistique
où φ est le flux (cm-2.s-1), C est la concentration de la particule considérée en un point de l’espace (cm-3),
et D est le coefficient de proportionnalité entre ces deux grandeurs, appelé coefficient de diffusion de
la particule (cm2.s-1). Le signe négatif traduit le fait que le flux entraîne les particules des régions à forte
concentration vers les régions à faible concentration.
Par ailleurs, la variation par unité de temps de la concentration de la particule considérée dans un volume
élémentaire de l’espace dépend du flux selon l’équation suivante :
()
∂C
= −div φ + G − R ,
∂t
Eq. II.2
où G et R sont la génération et la recombinaison de particules (cm-3.s-1), respectivement. Quand aucune
particule n’est créée ou détruite dans ce volume, l’équation Eq. II.2 se résume à :
()
∂C
= −div φ .
∂t
Eq. II.3
En combinant cette équation avec la première loi de Fick (Eq. II.1), on obtient la seconde loi de Fick :
(
)
∂C
= div D ⋅ grad(C ) .
∂t
Eq. II.4
Si le coefficient de diffusion D ne dépend pas de la position dans l’espace, on peut alors écrire :
(
)
∂C
= D ⋅ div grad(C ) .
∂t
Eq. II.5
Le coefficient de diffusion dépend de la température selon une loi d’Arrhénius :
 E 
D = D 0 ⋅ exp − a  ,
 k⋅T
Eq. II.6
où k est la constante de Boltzmann, Ea l’énergie d’activation, et le facteur D0 représente, d’un point de
vue mathématique, le coefficient de diffusion à une température infinie.
Cependant cette approche suppose que le système est à l’équilibre thermodynamique à tout moment de
la diffusion. Pour remédier à cet excès de simplicité, qui empêche l’application des lois de Fick à la
fabrication des transistors actuels, d’autres modèles plus réalistes ont été développés, que nous allons
présenter très brièvement.
II.1.1.2 Les modèles actuels
Le modèle que nous allons présenter ici est un parmi les plus complets qui existent à ce jour.
Pour une impureté donnée A, il y a six grandeurs à prendre en compte : les concentrations en interstitiels
[I], en lacunes [V], en paires impureté – interstitiel [AI], en paires impureté – lacune [AV], en impuretés
en site substitutionnel [As], et en porteurs de charges libres n et p (une seule de ces deux dernières est
nécessaire si on suppose une condition d’équilibre du type n.p = ni2).
- Pour les quatre premières espèces (I, V et paires), qui sont mobiles, il faut d’abord calculer :
+
la répartition des états de charge, c'est-à-dire leurs concentrations relatives ; puis pour chacun de
ces états de charge, il faudra résoudre :
63
Chapitre II
+
La simulation atomistique
l’équation de continuité, qui n’est autre que la deuxième loi de Fick :
∂C(x, t )
∂ φ(x , t )
=−
+ (G − R ) ,
∂t
∂x
Eq. II.7
où C(x, t ) est la concentration de l’espèce considérée, dépendant de l’espace (x) et du temps (t),
φ(x, t ) le flux de cette même espèce, également fonction de l’espace et du temps, G et R sont la
génération et l’annihilation des particules de cette espèce, dans le volume ou au point considéré ; le
terme (G-R) est un terme de couplage, que nous allons expliciter plus loin ;
+
l’équation de flux, ici pour toute espèce mobile X de charge i, soit Xi:
∂C A i (x, t )
φ A i (x, t ) = −D A i ⋅
∂x
+ i ⋅ D Ai ⋅
C(x, t ) ∂p
⋅ .
p
∂x
Eq. II.8
- L’impureté en site substitutionnel est immobile, son flux est donc nul. On ne prend en général en
compte qu’un état de charge possible (négatif pour les dopants de la colonne III, neutre pour les
impuretés de la colonne IV, et positif pour les éléments de la colonne V), sauf dans le cas de
l’ionisation incomplète où un deuxième état neutre est considéré. Seule l’équation de continuité est
donc nécessaire, et simplifiée encore :
∂C(x, t )
= (G − R ) .
∂t
Eq. II.9
- Le terme de couplage traduit la réaction entre les différentes espèces. Si l’on prend l’exemple de la
paire bore – interstitiel BI, la réaction
Bs− + I i +1 ↔ BI i ,
de constantes k
f
BI i
Eq. II.10
et k
d
BI i
i
pour la formation et la dissociation de la paire BI , respectivement, se
modélise grâce à l’équation que voici :
[BI]
éq
=
k fBIi
k
d
BI i
[ ] ⋅ [B ]
⋅ I i +1
éq
éq
Il en découle le terme de génération :
(G − R )BI = k fBI
i
Eq. II.11
s
[ ][ ]
[ ]
⋅ Bs− ⋅ I i +1 − k dBIi ⋅ BI i .
Eq. II.12
- n et p sont calculés en résolvant l’équation de neutralité, qui est locale dans le temps et l’espace (elle
doit être résolue à tout moment et en tout point).
- Enfin, les conditions aux limites sont des contraintes que l’on impose au système. En ce qui concerne
les défauts cristallins ponctuels, les deux plus usuelles sont la condition de Dirichlet, qui impose une
concentration fixe (équilibre) à l’interface, et celle de Neumann, qui permet de fixer un flux constant à
l’interface.
Pour plus de précisions sur ces mises en équations, le lecteur pourra se reporter aux textes de
[Boucard05].
Le mécanisme de diffusion par expulsion puis diffusion interstitielle nécessite une impureté initialement
en position substitutionnelle. C’est pourquoi parfois, pour modéliser la diffusion des dopants, on
64
Chapitre II
La simulation atomistique
considère que seul le dopant actif diffuse, même si en réalité ce dernier est immobile. Mais
l’approximation n’est correcte que si la quasi-totalité des dopants est active et que ceux-ci ne diffusent
que via les interstitiels.
Quand aux défauts étendus, dont nous avons montré l’importance dans le chapitre précédent, ils ne sont
pris en compte que depuis très peu de temps par certains simulateurs continus. [Zographos07].
Au fur et à mesure que l’on s’éloigne des conditions idéales, et que les procédés de fabrication se
complexifient (recuits millisecondes, co-implantations, etc…), il devient de plus en plus difficile et
coûteux de résoudre ces systèmes d’équations. En effet, l’introduction d’un nouveau modèle nécessite, en
plus de son propre jeu d’équations, des équations de couplage avec les modèles déjà existants. Par
exemple, la simulation des défauts étendus se fait par une approximation, dans le sens où – pour un type
de défaut étendu – chaque taille a ses caractéristiques propres (énergie de formation, section efficace de
capture, etc…) qui ne sont pas prises en compte individuellement.
En outre dans les dispositifs actuels les plus avancés, le nombre d’atomes dopants dans une région
déterminée est très faible et, de fait, difficilement assimilable à une concentration continue. Par exemple
pour un MOSFET construit sur un film mince (20 nm) de silicium sur isolant, pour une longueur de
canal de 20 nm et une largeur d’un quart de micron, une concentration de 1x1015 cm-3 de dopants dans la
région du canal correspond à… 0.1 atome, soit 1 transistor sur 10 possédant un atome dans le canal !
C’est pourquoi on se tourne, depuis quelques années et de plus en plus, vers une modélisation
atomistique des procédés de fabrication.
II.1.2 Les simulations à l’échelle atomique prenant en compte les vibrations
des atomes
II.1.2.1 Les méthodes ab initio
La caractéristique qui distingue ce type de simulation des autres est qu’elle ne nécessite pas en entrée
l’usage de paramètres empiriques à ajuster ou calibrer.
Les simulations ab initio consistent à traiter l’évolution des électrons des atomes du système. Elles
reposent sur la fonction d’onde de chaque particule, dont le carré représente la densité de probabilité de
présence en un point de l’espace, et donnent donc une information complète de l’état de charge d’un
système d’atomes. Le problème réside dans la résolution de l’ équation de Schrödinger… et « ce n’est pas
une mince affaire ». A peine a-t-on quitté les cas les plus simples que sont l’hydrogène et l’hélium, que
des approximations s’avèrent indispensables. La méthode prend alors un caractère plus empirique
qu’initialement, ce qui ne l’empêche pas d’être efficace.
Par ailleurs, en vue de simplifier les calculs nécessaires, ont été introduites la théorie de la fonctionnelle
densité (DFT pour Density Functional Theory) [Hohenberg64, Kohn65], ainsi que la méthode des
pseudo-potentiels [Phillips58], dont le principe est de ne traiter explicitement que les électrons
périphériques. Pour plus de détails, on pourra se reporter aux articles de [Ihm79, Denteneer85,
Payne92].
65
Chapitre II
La simulation atomistique
II.1.2.2 La dynamique moléculaire
Passant à l’échelle supérieure, en matière de nombre d’atomes et de temps d’expérience simulés, la
dynamique moléculaire résout les équations de Newton ou de Hamilton du mouvement des atomes
[Stillinger85]. Les forces agissant sur les atomes dérivent du potentiel classique ; sinon, on retrouverait
toute la complexité et les difficultés liées aux calculs ab initio.
Ces méthodes profondément microscopiques ne sont pas destinées à la simulation de procédés
technologiques à l’échelle des tailles des dispositifs et des temps de production. Les méthodes de
résolution des modèles par les équations aux dérivées partielles (simulations continues), quant à elles, ont
leurs propres limites, que nous avons déjà évoquées. Mais les modèles de diffusion et d’évolution
peuvent également être traités de manière stochstique, grâce aux méthodes Monte Carlo, sur lesquelles
nous allons nous pencher à présent.
II.1.3 Les méthodes Monte Carlo
II.1.3.1 Principe général
La méthode Monte Carlo particulaire traite les problèmes physiques par tirage aléatoire. Au lieu de
calculer de façon déterministe l’évolution de la concentration, chaque évènement, ou changement de
configuration, pris individuellement est calculé de façon probabiliste.
Après avoir présenté brièvement le Monte Carlo Metropolis, nous décrirons ci-après la méthode Monte
Carlo utilisée pour simuler l’implantation ionique, d’une part, puis la méthode de type Monte Carlo
cinétique, d’autre part, en nous attardant sur celle utilisée au cours de ce travail, la méthode Monte Carlo
sans réseau.
II.1.3.2 Le Monte Carlo Metropolis
Cette méthode est particulièrement adaptée à l’étude de systèmes dont on souhaite connaître l’état
d’équilibre. La démarche suivie par un algorithme de Monte Carlo Metropolis est la suivante.
(i) On commence par choisir un point de départ, c'est-à-dire des conditions initiales, arbitraires.
(ii) On calcule ensuite l’énergie totale du système.
(iii) Puis un évènement est choisi aléatoirement, qui ferait passer le système dans un état voisin du
premier.
(iv) L’énergie totale du système dans cette nouvelle configuration est calculée, et comparée à sa valeur
dans l’état précédent : la différence est ∆E. La probabilité de transition de l’état initial vers l’état final
est calculée par :
1 si ∆E ≤ 0

P =   ∆E 
.
exp − k ⋅ T  si ∆E > 0

66
Eq. II.13
Chapitre II
La simulation atomistique
L’évènement est accepté si cette probabilité est supérieure à un nombre aléatoire, généré à chaque
étape. Avec cette méthode, même si le système doit gagner de l’énergie, la nouvelle configuration a
des chances d’être acceptée, ce qui permet éventuellement de se dégager d’un minimum local.
S’ensuit enfin un retour à l’étape (ii), et ainsi de suite jusqu’à la convergence de l’énergie totale du
système.
Outre le fait qu’il est toujours possible de trouver un minimum local, cette méthode a l’inconvénient de
générer beaucoup de configurations improbables (qui seront refusées), et donc d’étapes de calculs qui ne
font pas évoluer le système ; cela est d’autant plus vrai que les évènements ont des probabilités faibles
d’advenir. Par ailleurs, le chemin pour parvenir à l’état final d’équilibre passe par des étapes
potentiellement irréalistes. Cette dernière remarque n’est en revanche pas opposable à l’approximation de
collision binaire et au Monte Carlo cinétique, deux applications des méthodes Monte Carlo décrites ciaprès.
II.1.3.3 Méthode de simulation Monte Carlo pour l’implantation ionique :
l’approximation de collision binaire
Le principe de cette méthode est de simuler le freinage de l’atome incident dans la structure cible. Ce
freinage a deux composantes : l’interaction de l’atome incident avec le nuage électronique, qui est de type
non élastique, et l’interaction noyau – noyau, qui est de type élastique. La génération de la cascade de
défauts est considérée comme instantanée (temps non simulé).
- La perte d’énergie inélastique, par interaction avec les électrons, soit dépend uniquement de la distance
parcourue dans le matériau cible (nuage électronique non local) [Lindhard61], soit est liée aux
différentes collisions qui ont lieu (nuages électroniques des atomes cibles) [Oen76].
Le parcours entre deux collisions est rectiligne. Pour une cible cristalline, les positions des atomes
cibles sont bien définies. Pour une cible amorphe, une structure cristalline est utilisée, à laquelle le
programme fait subir une rotation aléatoire entre chaque collision. Les atomes cibles se trouvent donc
à des positions aléatoires à chaque collision, ce qui est caractéristique d’une structure amorphe.
L’idée principale de l’approximation de collision binaire (ou BCA pour Binary Collision Approximation)
est de considérer que les chocs ne se produisent qu’entre deux particules à la fois, l’atome incident et un
atome de la structure cible. Cela implique que l’interaction entre les deux atomes se fait dans un rayon
bien inférieur à la distance interatomique du matériau cible.
- Lors de la collision, de l’énergie est transférée de l’atome incident à l’atome cible. Le potentiel entre ces
deux atomes a deux composantes, celle liée aux noyaux des atomes V(r) et celle liée à l’écrantage due
au nuage d’électrons :

Z1 ⋅ Z 2 ⋅ q 2
V
r
=
(
)

4 ⋅ π ⋅ ε0 ⋅ r

,

φ(r ) = f  r 
a 

 U

Eq. II.14
où aU est la longueur universelle d’écrantage [MartinBragado04a].
67
Chapitre II
La simulation atomistique
Il existe un seuil d’énergie au-delà duquel l’atome cible brise ses liaisons avec ses voisins ; dans le cas
du cristal de silicium, sa valeur est de 15 eV. Si l’énergie transférée lui est inférieure, l’atome cible reste
en place. L’énergie perdue, sous forme de phonon ou de chaleur, n’est pas prise en compte dans le
modèle. L’atome incident est dévié de sa trajectoire.
Si l’énergie transférée est supérieure au seuil de déplacement, l’atome cible sera alors déplacé. A sa
place initiale est créée une lacune, et lui se retrouve en position interstitielle dans le cristal : une paire de
Frenkel a été générée. Cet atome sera à son tour, comme l’atome incident, freiné par les électrons, et
entrera en collision avec les autres atomes de la structure. Et ainsi de suite… jusqu’à ce que tous les
atomes mis en mouvement, y compris l’atome incident, aient une énergie résiduelle de 15 eV, en deçà
de laquelle il est immobilisé.
Un exemple de cascade ainsi générée est représenté sur la Figure II.2.
Atome implanté
Interstitiel
Lacune
Position
finale
de
l’atome implanté
Figure II.2. Création d’une cascade de collision : ici, 7 interstitiels et 7 lacunes ont été créés. L’impureté en
position interstitielle est instable et créera un interstitiel supplémentaire quand il se repositionnera sur un site
cristallin (voir paragraphe I.1.2.3.3)
Quand toutes les cascades ont été créées, les conditions initiales pour démarrer les étapes de diffusion
sont réunies : on connaît la position des impuretés et de chacun des défauts créés. On peut donc
s’attacher maintenant à simuler l’évolution de ce système.
II.1.3.4 Méthodes de simulation Monte Carlo cinétique pour la diffusion
Les méthodes Monte Carlo cinétique (kMC pour kinetic Monte Carlo) sont utiles pour simuler des
processus d’activation, c'est-à-dire dont la probabilité d’occurrence est de la forme :
 E 
p = exp − a  ,
 k⋅T
Eq. II.15
Ea étant l’énergie d’activation du processus.
La méthode Monte Carlo cinétique tient son nom de la manière dont elle prend en compte le temps.
Chaque type d’évènement (saut, échange avec un voisin, etc…) a une fréquence d’occurrence
caractéristique. La Figure II.3 illsutre un mécanisme possible de sélection d’un évènement pour une
68
Chapitre II
La simulation atomistique
configuration particulière, par exemple en présence de 3 lacunes, 2 interstitiels, et un défaut {311}.
Considérons que les fréquences de migration de l’interstitiel et de la lacune sont respectivement 1000 s-1
et 100 s-1, et que l’émission d’un interstitiel par le défaut {311} a une fréquence de 10 s-1. Pour simuler
une seconde, il faudra simuler l’occurrence de 2310 évènements : 1000 sauts par interstitiel, 100 sauts par
lacune, et 10 émission pour le {311}. Le tirage d’un nombre aléatoire entre 0 et 2310 permet de choisir le
prochain évènement simulé. La probabilité que ce soit un saut d’interstitiel est de 2000/2310, un saut de
lacune 300/2310, et une émission par les défauts {311} 10/2310. Suite à cet évènement, le logiciel fait
avancer la simulation d’un pas de temps correspondant à la fréquence de l’évènement choisi : 10-3 s pour
une migration d’interstitiel, 10-2 s pour un saut de lacune, et 10-1 s pour l’émission d’un interstitiel par le
défaut {311}.
NOMBRE ALEATOIRE
0
2000
Saut d’un interstitiel
2300 2310
Saut Emission d’un
d’une interstitiel par le
lacune {311}
Figure II.3. Choix d’un évènement en fonction de tous ceux possibles dans la configuration du système, et
incrémentation du temps d’un pas dépendant de la fréquence de l’évènement choisi.
L’avantage remarquable que l’on en tire de cette méthode est que la simulation progresse d’autant plus
vite que la fréquence des évènements est petite (et donc que leur période est longue). Le temps de calcul
s’adapte à l’évolution du système : une simulation avec peu d’évènements se terminera très rapidement,
même si le temps de l’expérience simulée est très grand.
La méthode de Monte Carlo cinétique peut être utilisée pour effectuer des simulations dans des systèmes
non directionnels (sans réseau), ou au contraire dans des systèmes contenant un réseau cristallin.
II.1.3.4.1 Sur réseau
Contrairement à la dynamique moléculaire, qui simule tous les mouvements des atomes, la méthode
Monte Carlo cinétique ne traite que les évènements ayant une conséquence importante sur le
système. Typiquement, les vibrations des atomes autour de leur position d’équilibre ne sont pas prises en
compte, mais seulement les sauts des atomes sur les sites voisins.
Enfin, tel qu’ implicitement suggéré tout au long du paragraphe, le Monte Carlo avec réseau prend en
compte tous les atomes du système simulé, même ceux qui au final n’auront ni migré, ni interagi avec un
voisin, cioè n’ayant servi à rien d’autre qu’à occuper de la mémoire…
II.1.3.4.2 Sans réseau
En simulation Monte Carlo sans réseau, on ne simule que les atomes qui sont des défauts pour le cristal
parfait de silicium. Il faut prendre ici le terme « défaut » dans son sens le plus large : un défaut est tout ce
69
Chapitre II
La simulation atomistique
qui n’est pas un atome de silicium sur un site du cristal diamant. Ce sont donc les lacunes et les autointerstitiels, ainsi que leurs agglomérats de toutes sortes, les impuretés, quelle que soit leur position dans
le réseau, agglomérées ou non, mais aussi les interfaces avec d’autres matériaux, qui représentent une
rupture on ne peut plus nette dans la périodicité du cristal de silicium. Ce traitement des défauts est
expliqué sur la Figure II.4. Les évènements représentés sont l’émission d’un interstitiel par un défaut
{311}, puis la migration de celui-ci, et enfin sa recombinaison avec une lacune se trouvant à proximité.
En simulation Monte Carlo cinétique sur réseau (comme en dynamique moléculaire, et a fortiori en calcul
ab initio), on simulerait tous les atomes du réseau et les défauts. Mais en Monte Carlo cinétique sans
réseau, on ne simule que les atomes des défauts ponctuels et étendus (représentés schématiquement en
surimpression sur la Figure II.4 (b)). Du système, le simulateur ne voit pas le réseau, mais seulement
les défauts (Figure II.4 (c)).
(a)
(b)
(c)
Interstitiel
Lacune
Impureté
Figure II.4. (a)Photo obtenue par microscopie électronique à transmission à haute-résolution montrant un
défaut {311} dans le réseau cristallin du silicium (tirée de [Stolk97]). (b) Mise en évidence des défauts
présents dans la structure. (c) Ensemble des atomes pris en compte en simulation Monte Carlo cinétique sans
réseau : les défauts.
Cette méthode de simulation Monte Carlo permet ainsi de simuler tous les évènements liés à la
diffusion des dopants, en limitant l’usage du temps CPU (cinétique) et de la mémoire (sans réseau). Il
devient alors possible de simuler des étapes entières de procédés de fabrication (durée de quelques
secondes à quelques heures) sur des dispositifs actuels (longueur caractéristique de quelques dizaines de
nanomètres), tout en utilisant des modèles suffisamment précis pour prendre en compte tous les
nouveaux effets liés à la réduction des dimensions des dispositifs.
Pour toutes ces raisons, c’est vers ce type de simulateur que nous nous sommes tournés pour effectuer
nos simulations de procédés de fabrication des jonctions fines. Plus particulièrement, nous avons utilisé
le logiciel DADOS, développé par l’équipe de l’Université de Valladolid.
II.2 Présentation du logiciel de simulation atomistique DADOS
Le nom DADOS signifie « Diffusion of Atomistic Defects, Object-oriented Simulator ». Mais, si l’on ne reste
pas obnubilé par l’anglais, DADOS est aussi le mot espagnol pour dés. Un clin d’œil au caractère
70
Chapitre II
La simulation atomistique
positivement aléatoire de la méthode Monte Carlo ! Il fait partie intégrante de l’environnement UVAS,
qui signifie University of Valladolid Atomistic Simulator.
Rappelons tout d’abord que le logiciel DADOS utilise la méthode Monte Carlo cinétique sans réseau,
décrite plus haut. Il traite donc tous les défauts, au sens large, mais uniquement les défauts : pas les
atomes du réseau cristallin du silicium.
Les simulations s’effectuent uniquement en trois dimensions. L’espace simulé est un parallélépipède
rectangle, dont l’axe X est la profondeur du substrat de silicium. Deux grilles de maillage distinctes sont
utilisées dans DADOS.
- Les nœuds de la première représentent les sites sur lesquels vont évoluer les particules, autrement dit
leurs positions potentielles. La maille de cette grille est cubique, et l’intervalle entre chaque noeud est
défini comme la distance au second voisin dans le cristal de silicium, soit 3.84 Å. Quand une particule
diffuse, c’est sur ce réseau virtuel. Bien que basé sur les propriétés cristallines du silicium, ce paramètre
n’empêche pas l’utilisation de DADOS pour un autre matériau, ou une hétérostructure (exemple du
silicium – germanium sur silicium). Les fréquences des évènements (en particulier les préfacteurs des
lois d’Arrhénius, pour les migrations, émissions, etc…), sont en effet ajustés en fonction du paramètre
de maille de cette première grille.
- La boîte de simulation est par ailleurs divisée en un nombre multiple de 2, non contrôlable par
l’utilisateur, de mailles élémentaires. Leur taille dépend de la taille de la boîte de simulation, et de leur
nombre ; elle est donc variable d’une simulation à l’autre. Un seul matériau peut être assigné à chaque
maille. Leurs bornes servent donc de frontières entre les différents matériaux de la simulation. Elles
servent d’autre part aux calculs de concentrations locales, quand elles doivent être comparées à des
seuils. Nous verrons plus loin dans quel contexte.
Nous avons vu plus haut que DADOS utilise les fréquences d’occurrence des différents évènements. Or
on utilise souvent des coefficients de diffusion, notamment pour décrire les migrations des atomes. Le
lien entre le coefficient de diffusion D (macroscopique) et la fréquence de migration ν
(microscopique) des particules est obtenu grâce à la formule d’Einstein :
ν=
6⋅D
,
λ2
Eq. II.16
où λ est la distance de saut, soit 3.84 Å dans DADOS.
Enfin, les étapes de procédés de fabrication des jonctions fines gérées par DADOS sont :
- l’implantation ionique, par simulation Monte Carlo utilisant l’approximation de la collision
binaire pour la génération des cascades d’implantation (logiciel Marlowe) ;
- la lecture de profils de concentrations unidimensionnels ;
- le recuit, par la spécification d’un profil de température.
L’oxydation et la nitruration ne sont pas véritablement simulées, dans le sens ou aucun changement de
matériau ni de mouvement d’interface n’est actuellement implémenté. L’utilisateur peut en revanche
modifier les fréquences d’émission des interstitiels et / ou des lacunes par l’interface, effets significatifs de
ces réactions sur l’évolution des défauts et des impuretés.
71
Chapitre II
La simulation atomistique
L’organigramme de la figure xxx montre les cycles suivis par DADOS au cours d’une simulation typique.
Lecture éventuelle
de profils prédéfinis
Implantation
d’une cascade de défauts
(un ion)
Recuit à la température
d’implantation
Itérations jusqu’à l’obtention
de la dose souhaitée
Début de l’implantation
Fin de l’implantation
Paramètres éventuels
pour l’oxydation
ou la nitruration
Recuit(s)
Figure II.5. Cycles suivis par DADOS pour l’implantation et le recuit pour la simulation d’un procédé classique.
Nous allons à présent nous pencher sur la manière dont les mécanismes de diffusion et d’évolution des
défauts au cours de ces étapes sont implémentés dans DADOS.
II.2.1 Modélisation / Implémentation des mécanismes décrits dans le premier
chapitre
II.2.1.1 Les différents matériaux disponibles
Le silicium cristallin est logiquement le matériau principal de DADOS. Les mécanismes atomistiques
complexes y sont implémentés, et nous allons les décrire dans la suite de cette partie. En outre, DADOS
est capable de prendre en compte le silicium amorphe, l’oxyde de silicium (SiO2), le nitrure de
silicium (Si3N4) – mais pas le polysilicium. Pour ces matériaux autres que le silicium cristallin, les
mécanismes de la diffusion sont modélisés de manière simple, voire simpliste : au lieu de s’associer avec
d’autres défauts, les impuretés diffusent seules en fonction de leur coefficient de diffusion (couple
préfacteur / énergie de migration pour l’application d’une loi d’Arrhénius). Aucun autre défaut n’y est
présent que les impuretés (le silicium amorphe étant un cas particulier, puisqu’il se transforme en silicium
cristallin sous l’effet de la chaleur, il sera mieux décrit dans le paragraphe II.2.1.5). Aucune interaction
n’est autorisée entre les impuretés.
72
Chapitre II
La simulation atomistique
Enfin, l’atmosphère ambiante (gaz ou vide) ne contient aucun défaut, ni ponctuel ni étendu, ni associé
au silicium ni à aucune autre impureté. Elle n’est là que pour définir des structures plus complexes qu’un
parallélépipède rectangle, en cas de besoin.
II.2.1.2 Les particules utilisées
Dans DADOS, une particule correspond à « l’espèce chimique » de l’objet simulé. C’est certes un abus
de langage, car à travers particule on entend aussi bien interstitiel ou lacune, qu’impureté
substitutionnelle ou en paire. Chaque état de charge est de plus traité comme une particule nouvelle.
En effet, à chaque état de charge correspond notamment un coefficient de diffusion qui lui est propre.
Ce traitement séparé est donc justifié.
Chaque particule porte l’étiquette indiquant le type de défaut auquel elle est rattachée. Par exemple, ce
pourra être « défaut ponctuel » pour une paire, ou défaut {311} pour un interstitiel, etc…
L’implémentation des défauts est expliquée dans le paragraphe suivant.
II.2.1.3 Les différents types de défauts
Nous avons choisi de présenter les défauts selon l’ordre de grandeur de leur taille : défauts ponctuels ou
défauts étendus. Quant à la sursaturation d’interstitiels ou de lacunes, elle n’est pas décrite comme un
défaut, mais est traitée comme telle dans DADOS. Elle fera donc l’objet d’un paragraphe à part.
II.2.1.3.1 Les défauts ponctuels
Les défauts ponctuels sont constitués d’une seule particule, isolée.
Les évènements possibles pour les défauts ponctuels sont les sauts d’un site à l’autre (si le coefficient
de diffusion est non nul), les interactions avec d’autres défauts (si autorisées).
Les sauts sont les étapes élémentaires de la migration d’un défaut. Ils peuvent être effectués dans les 6
directions du réseau prédéfini, et leur longueur est λ (voir introduction au paragraphe II.2).
Les interactions entre défauts ponctuels se font ainsi. Après chaque saut, la particule se pose trois
questions.
- Elle recherche d’abord dans son rayon d’interaction (également de valeur λ) si elle trouve une autre
particule. Si la réponse est non, il n’y a évidemment pas d’interaction possible.
- Si c’est le cas, en revanche, elle compare sa charge à celle de la particule trouvée. Comme des charges
égales se repoussent, DADOS interdit les réactions entre des défauts ponctuels chargés tous deux
négativement ou chargés tous deux positivement.
- Dans le cas où il y a plusieurs autres particules dans le rayon d’interaction, il y aura un tirage au sort
pour savoir avec quelle particule l’interaction aura lieu.
- Quand ce choix est effectué, il faut enfin que la réaction soit énergétiquement favorable. DADOS
considère que c’est toujours le cas ! Cela peut paraître étrange, mais est essentiel pour obtenir de bons
résultats. La réaction a lieu systématiquement ; si elle était peu favorable (énergie totale du produit très
supérieure à la somme des énergies totales des réactifs), la réaction inverse (de dissociation par
73
Chapitre II
La simulation atomistique
exemple) adviendra et le pas de temps associé sera très court. Bien qu’énergétiquement peu favorables,
ces réactions ont toute leur importance, car la présence – même éphémère – de leur produit peut
changer considérablement l’état du système. C’est par exemple le cas de certains états de charge de la
paire bore – interstitiel, assez instables pour n’exister qu’à des concentrations très faibles, mais
tellement mobiles qu’ils sont responsables de la majeure partie de la diffusion du bore !
Les interactions des défauts ponctuels avec les défauts étendus et les interfaces seront détaillées dans les
paragraphes concernant les défauts étendus et les interfaces.
Voyons maintenant quelques spécificités de certains défauts ponctuels.
II.2.1.3.1.1 Lacunes et interstitiels
Les interactions interstitiel – lacune en tant que défauts ponctuels donnent systématiquement lieu à la
recombinaison de ces deux particules.
La réaction entre deux interstitiels donne un petit agglomérat d’interstitiels, I2.
De même, la réaction de deux lacunes entre elles donne le premier petit agglomérat de lacunes, V2.
II.2.1.3.1.2 Impuretés substitutionnelles
Les impuretés substitutionnelles ont un coefficient de diffusion nul (Les impuretés prises en compte
dans DADOS ont besoin d’un défaut cristallin ponctuel auquel s’apparier pour diffuser). Lorsqu’il s’agit
d’un dopant, il est également considéré comme électriquement actif (utile pour le calcul des charges et du
niveau de Fermi).
II.2.1.3.1.3 Les paires impureté – défaut cristallin ponctuel
Les paires impureté – interstitiel ou impureté – lacune sont mobiles. Le dopant y est inactif.
La diffusion des impuretés à l’aide des interstitiels fait appel à deux mécanismes distincts : le mécanisme
interstitiel direct (voir paragraphe I.2.2.2) et le mécanisme de diffusion par paire (voir paragraphe
I.2.2.3.1). Bien qu’il s’agisse de deux mécanismes différents, on considère en général du point de vue de la
modélisation, et en particulier dans DADOS, qu’ils sont équivalents. Si l’on confond les entités AI (paire
impureté – interstitiel) et Ai (impureté en position interstitielle), qui sont toutes deux mobiles, les
réactions générales de génération et de dissociation de la paire sont les mêmes dans les deux cas, comme
on le faisait remarquer dans le paragraphe I.2.2.3.1.
II.2.1.3.1.4 Le cas particulier du fluor
Il est communément admis que le fluor reste en position interstitielle dans le cristal de silicium
[DeWalle88]. La particule « fluor substitutionnel » n’est donc pas implémentée dans DADOS.
II.2.1.3.2 Les défauts étendus
Les défauts étendus dans DADOS sont tous les défauts constitués de plus d’une particule. Nous allons
examiner successivement les agglomérats d’interstitiels, de lacunes, les poches amorphes, et les
agglomérats mixtes impuretés – défauts cristallins ponctuels.
Les interactions avec les défauts ponctuels sont toujours autorisées, et ont systématiquement lieu (sous
réserve que le défaut ponctuel soit de charge neutre). Si la réaction n’est pas énergétiquement favorable,
74
Chapitre II
La simulation atomistique
la réaction inverse interviendra rapidement (voir interactions entre défauts ponctuels, paragraphe
II.2.1.3.1).
II.2.1.3.2.1 L’agglomération des interstitiels
II.2.1.3.2.1.1 Les petits agglomérats
Les petits agglomérats n’ont pas de forme prédéfinie. Ils se construisent « naturellement » au fur et à
mesure des captures d’interstitiels. Leur énergie de formation dépend de leur taille, d’après les données
publiées dans [Cowern99a].
Les évènements possibles pour un petit agglomérat sont :
- la capture d’un interstitiel, qui mène à la croissance de l’agglomérat ;
- l’émission d’un interstitiel, dont la fréquence dépend de l’énergie de liaison de l’interstitiel au défaut
étendu, donc de la taille de ce dernier ;
- la recombinaison d’un de ses interstitiels, quand une lacune rencontre l’agglomérat dans son rayon
d’interaction ;
- la transformation en défaut {311}, quand il a suffisamment grandi et selon la température.
II.2.1.3.2.1.2 Les défauts {311}
La transformation des agglomérats en défauts {311} consiste en un réarrangement des interstitiels sur un
plan {311}. Un défaut {311} croît et se dissout de la même manière qu’un petit agglomérat, par
interaction avec des interstitiels et des lacunes. La surface de capture des {311} est en revanche
fortement influencée par leur forme particulière. Quand un interstitiel est capturé, il est ajouté en bout de
colonne du défaut. Le nombre de colonnes d’interstitiels du défaut dépend directement du nombre
d’interstitiels qu’il contient. Au fur et à mesure de sa croissance, le défaut devenant plus long, se
réorganise pour que sa largeur W et sa longueur L suivent approximativement la loi suivante [Liu96] :
W = 0.5(nm ) ⋅ L ,
Eq. II.17
où W et L déterminent par ailleurs le nombre de colonnes et de lignes, respectivement :
N colonnes =
4⋅W
L⋅ 2
+ 1 et N lignes =
+ 1.
a
a ⋅ 22
Eq. II.18
Enfin, un défaut {311} peut se transformer en boucle de dislocation, selon sa taille et la température.
DADOS recalcule alors les coordonnées de chacun des interstitiels du défaut pour former une boucle de
dislocation. Deux modèles de transition des défauts {311} en boucles de dislocation sont implémentés
dans DADOS, et sont au libre choix de l’utilisateur. Le premier est appelé « modèle de la taille de
transition » (transition size model). Le défaut {311} est systématiquement transformé en boucle de
dislocation dès qu’il atteint une taille critique. Cette taille critique dépend de la température selon une loi
d’Arrhénius : plus la température est élevée, plus la taille de transition est petite. Le second modèle est dit
« modèle du taux de transition ». Cette fois, la probabilité de transition augmente de façon continue avec
la taille du défaut, selon une loi dépendant également de la température. La Figure II.6 permet de
comparer pour les deux modèles l’évolution de la probabilité de transition d’un défaut {311} en boucle
de dislocation en fonction de sa taille.
75
Chapitre II
La simulation atomistique
Probabilité de transition
Modèle de la taille de transition
Modèle du taux de transition
1
0.5
0
0
2000
4000
6000
8000
10000
Taille du défaut (nombre d'interstitiels)
Figure II.6. Evolution à 700°C de la probabilité de transformation d’un défaut {311} en boucle de dislocation en
fonction de la taille du défaut, pour les deux modèles de la taille de transition et du taux de transition.
Figure II.7. Image de défauts {311} obtenus avec DADOS (en jaune).
II.2.1.3.2.1.3 Les boucles de dislocation
Ne sont implémentées dans DADOS que les boucles de dislocation fautées, qui sont circulaires. Outre le
fait que le « défautage » des boucles de dislocation n’advienne qu’occasionnellement (très grand budget
thermique), les énergies de formation et les sections efficaces de capture des boucles fautées et parfaites
présentent peu de différences. Ce sont des disques d’interstitiels situés dans des plans {111}. Comme les
défauts {311}, elles peuvent capturer des interstitiels pour grossir, se dissoudre en émettant des
interstitiels ou en capturant des lacunes. En outre, leur grande stabilité, qui se traduit par une énergie de
liaison importante des interstitiels, leur permet d’interagir avec les paires impureté – interstitiel. Dans ce
cas, l’interstitiel est capturé par la boucle de dislocation et l’impureté est relâchée sur place, en position
substitutionnelle, donc immobile. On reproduit ainsi le phénomène de ségrégation des impuretés par les
boucles de dislocation, observé expérimentalement (par exemple pour le bore, voir [Bonafos97]). La
désactivation du bore ainsi ségrégé par les défauts étendus n’est pas simulée, mais c’est un phénomène
qu’il serait facile d’intégrer dans le simulateur.
76
Chapitre II
La simulation atomistique
Figure II.8. Image de boucles de dislocation obtenues avec DADOS (en jaune).
II.2.1.3.2.2 L’agglomération des lacunes
II.2.1.3.2.2.1 Les petits agglomérats
Les petits agglomérats de lacunes se comportent exactement de la même manière que les petits
agglomérats d’interstitiels, hormis pour la transformation en défauts {311} ; le lecteur est invité à
parcourir le paragraphe II.2.1.3.2.1.1, portant sur ce sujet. Les petits agglomérats de lacunes peuvent se
transformer en cavité quand ils atteignent une taille critique, qui dépend de la température.
II.2.1.3.2.2.2 Les cavités
Les coordonnées des lacunes sont alors recalculées pour former une sphère dont la densité est celle du
cristal de silicium (5x1022 V.cm-3). Les cavités peuvent à leur tour capturer ou émettre des lacunes, et
capturer des interstitiels en les recombinant avec une de leurs lacunes.
Figure II.9. Image des cavités sphéroïdales obtenues avec DADOS (en jaune).
II.2.1.3.2.3 Les poches amorphes
Les poches amorphes sont des défauts étendus contenant à la fois des interstitiels et des lacunes. Leur
nom peut paraître impropre au premier abord car il ne s’agit pas de régions de silicium amorphe, mais
bien de zones fortement endommagées dans le silicium cristallin, traitées comme des défauts à part
entière dans DADOS.
Leur forme est irrégulière, créée par les captures successives des différentes particules.
77
Chapitre II
La simulation atomistique
Les poches amorphes peuvent donc capturer des interstitiels et des lacunes, qu’elles rendent immobiles
en leur assignant l’étiquette « poche amorphe » (exactement comme le font les petits agglomérats
d’interstitiels ou de lacunes).
Par ailleurs, les poches amorphes ont ceci de particulier qu’elles permettent la recombinaison interne des
paires interstitiel – lacune qu’elles contiennent. La fréquence de cet évènement dépend de la taille de la
poche amorphe, et, comme toujours, de la température. Mais elle est généralement plus grande que la
fréquence des émissions d’interstitiels et de lacunes, qui ne sont par conséquent pas simulées.
Ensuite, les poches amorphes interagissent aussi avec les impuretés mobiles qui les trouvent dans leur
rayon d’interaction après un saut. Dans le cas de la capture d’une paire, la poche amorphe garde d’un
côté l’interstitiel (ou la lacune) comme lui appartenant, et de l’autre l’impureté. Celle-ci n’augmente pas la
taille de la poche amorphe et ne modifie donc nullement la fréquence de recombinaison interne.
Enfin, quand toutes les paires disponibles au sein de la poche amorphe sont recombinées, celle-ci
cherche à construire des agglomérats mixtes impuretés – défauts cristallins ponctuels avec les impuretés
qu’elle contient et les défauts cristallins ponctuels restant. Les interstitiels (ou lacunes) en excès forment
par ailleurs des petits agglomérats purs d’interstitiels (ou de lacunes).
II.2.1.3.2.4 Les agglomérats impliquant les impuretés
Ces agglomérats, également appelés complexes, piègent les impuretés qui sont alors immobilisées, et, s’il
s’agit de dopants, désactivées. Ils n’ont pas de forme prédéfinie. Les impuretés sont associées soit avec
des interstitiels, soit avec des lacunes. Dans la suite du paragraphe nous appellerons A l’impureté, X le
défaut cristallin ponctuel (interstitiel, ou lacune) et X le défaut cristallin ponctuel complémentaire
(lacune, ou interstitiel).
Les complexes peuvent capturer ou émettre des particules, selon les mécanismes que nous allons décrire.
- Un complexe AnXm peut tout d’abord capturer un défaut cristallin ponctuel X ou une paire AX :
A n X m + X → A n X m +1 ,
Eq. II.19
A n X m + AX → A n +1 X m +1 .
Eq. II.20
Dans ce dernier cas, DADOS ajoute les particules A et X à l’agglomérat, et détruit la paire AX.
Cette capture n’est pas systématique comme dans le cas des agglomérats purs de X. En effet, pour un
défaut {311} ou une boucle de dislocation par exemple, il est toujours avantageux de capturer un
interstitiel : son énergie de formation diminue de manière monotone quand il croît. Dans le cas d’un
complexe, DADOS calcule donc la probabilité de capture d’un X par AnXm et la compare à un
nombre aléatoire.
- A l’inverse, un agglomérat mixte peut émettre soit un défaut X soit une paire AX :
A n X m → A n X m −1 + X ,
Eq. II.21
A n X m → A n −1 X m −1 + AX .
Eq. II.22
La fréquence d’émission est calculée comme pour tout évènement, avec une loi d’Arrhénius. Après
chaque émission, DADOS vérifie que le complexe peut encore exister : reste-t-il au moins deux
78
Chapitre II
La simulation atomistique
impuretés dans l’agglomérat, ou bien une impureté et au moins deux défauts ? Si ces conditions ne
sont pas remplies :
+
soit il n’y a plus d’impureté, et le complexe est transformé en un petit agglomérat pur de X ;
+
soit il reste une impureté et un défaut X, et le complexe est transformé en paire AX.
- L’évènement de recombinaison est également possible :
A n X m + X → A n X m −1 .
Eq. II.23
Comme précédemment, par le jeu des énergies de formation, ces réactions de recombinaison ne sont
pas toujours énergétiquement favorables ; DADOS calcule donc la probabilité qu’elles adviennent.
Quand la réaction de recombinaison a eu lieu, la taille du complexe a diminué. Il est alors nécessaire de
chercher les particules restantes composant cet agglomérat, comme déjà expliqué ci-dessus.
- Bien que peu fréquent, le mécanisme de Frank – Turnbull est également implémenté pour les
agglomérats d’impureté :
A n X m → A n X m + X X → A n X m +1 + X .
Eq. II.24
Les conditions énergétiques favorables à ce mécanisme sont rarement réunies, car il est nécessaire
d’apporter l’énergie de formation d’une paire interstitiel – lacune Ef(IV). En d’autres termes, il faut que
le défaut AnXm+1 soit plus stable que le défaut AnXm de Ef(IV) eV.
- Le phénomène de recombinaison complémentaire est engendré par des impuretés formant des
agglomérats avec un type de défaut cristallin ponctuel et diffusant avec le défaut complémentaire. C’est
par exemple le cas de l’arsenic, qui forme des agglomérats avec les lacunes, et qui diffuse à la fois avec
les lacunes et les interstitiels.
La réaction est la suivante :
A n X m + A X → A n +1X m −1 .
Eq. II.25
Dans ce cas, l’impureté est ajoutée à l’agglomérat et un défaut X lui est retiré ; la paire A X est, elle,
annihilée.
- Enfin, le mécanisme d’émission complémentaire est aussi pris en compte. Il est résumé par la
réaction :
A n X m → A n X m + X X → A n −1X m +1 + A X .
Eq. II.26
II.2.1.3.3 La sursaturation
Comme nous l’avons vu dans le paragraphe I.1.2.3.2, la sursaturation est le rapport entre la concentration
d’un type de défaut cristallin ponctuel et sa concentration à l’équilibre thermodynamique, en un instant
donné. DADOS traite cette grandeur de manière globale, c'est-à-dire qu’il la calcule uniquement sur tout
le volume de la simulation. Puis il l’assigne au défaut « sursaturation », que l’on peut suivre au cours de la
simulation.
II.2.1.4 Les interfaces
79
Chapitre II
La simulation atomistique
Dans DADOS, les interfaces sont concrètement représentées par les faces des mailles élémentaires
séparant deux matériaux différents. La forme « en escaliers » qui en découle a donc tendance à surestimer
l’aire des interfaces irrégulières. Mais ce cas de figure n’arrive, en pratique, que pour des interfaces cirstal
– amorphe, de caractère éphémère. L’influence de cette surestimation de la surface de capture et
d’émission des défauts ponctuels est donc minime, puisque la recristallisation est très rapide.
Nous allons d’abord nous intéresser aux interactions des défauts cristallins ponctuels avec les interfaces
silicium – autre matériau, avant de regarder brièvement les interactions des impuretés avec tous les types
d’interface.
II.2.1.4.1 Modèle d’interface pour les interstitiels et les lacunes
Quand un défaut cristallin ponctuel X trouve dans son rayon d’interaction une interface, la probabilité de
sa capture par l’interface est calculée ainsi :
λ

Pcapt = L si L r ≥ λ
,
r

Pcapt = 1 si 0 ≤ L r < λ

Eq. II.27
λ étant la distance d’interaction, et le paramètre Lr étant appelé longueur de recombinaison. Il représente
la distance qu’un interstitiel devrait virtuellement parcourir au-delà de l’interface pour être recombiné
systématiquement [Cowern99b].
Si la surface de la boîte de simulation est Ymax.Zmax, le volume dans lequel se trouvent les défauts X ayant
un potentiel d’interaction avec l’interface est Ymax.Zmax.λ. Ainsi la fréquence de capture d’un défaut X par
l’interface est :
1
ν capt (X ) = ⋅ ν m (X ) ⋅ [X ]⋅ Ymax ⋅ Z max ⋅ λ ⋅ Pcapt ,
6
Eq. II.28
avec νm(X) la fréquence de migration de X, qui suit une loi d’Arrhénius de préfacteur νm0(X) et d’énergie
d’activation Em(X) :
 E (X ) 
ν m (X ) = ν m 0 (X ) ⋅ exp − m
.
 k⋅T 
Eq. II.29
Le facteur 1/6 traduit la probabilité pour le défaut X de migrer dans la direction de l’interface (une
direction particulière sur les six directions possibles, car le maillage est cubique).
Puis à l’équilibre, l’interface doit émettre autant d’interstitiels qu’elle en capture. La densité de sites
d’émission de l’interface est de 2/aSi2. Donc la fréquence d’émission est :

2 
 E (X ) + E f (X ) 
ν émiss (X ) = ν émiss 0 (X ) ⋅  Ymax ⋅ Z max ⋅ 2  ⋅ Pcapt ⋅ exp − m
,
k⋅T
a Si 



Eq. II.30
où Em(I) et Ef(I) sont les énergies de migration et de formation de X, respectivement.
Ainsi la concentration à l’équilibre [X]* de X est atteinte quand les fréquences d’émission et de capture
sont égales, ce qui donne :
80
Chapitre II
La simulation atomistique
[X ]éq = 2 ⋅ ν émiss0 (X ) ⋅ exp − E f (X )  .
λ

k⋅T 
Eq. II.31
II.2.1.4.2 Modèle d’interface pour les impuretés
En ce qui concerne les impuretés, un des rôles de l’interface est de réguler leur solubilité limite à sa valeur
d’équilibre. Donc ici encore, les fréquences d’émission et de capture doivent, à l’équilibre, être égales.
Mais cette fois, les impuretés ne disparaissent pas à l’interface, contrairement aux interstitiels et aux
lacunes qui se recombinent. Il y a donc un nombre maximal de pièges Nmax à l’interface. La fréquence
N
d’émission est proportionnelle au taux de remplissage
.
N max
Enfin, quand un des côtés de l’interface est du silicium, les émissions sont réparties entre les deux
matériaux en fonction du coefficient de ségrégation gMatériau du second matériau :
Matériau
ν émiss (X ) = ν Silicium
(X )
émiss (X ) + ν émiss

Matériau
.
ν émiss
(X )

g
=
 Matériau ν Silicium (X )
émiss

Eq. II.32
II.2.1.5 Les phénomènes d’amorphisation et de recristallisation
II.2.1.5.1 L’amorphisation
L’amorphisation du silicium dans DADOS se fait par accumulation des défauts cristallins ponctuels, et
principalement à travers les poches amorphes créées pendant les implantations ioniques. Le traitement
numérique de l’amorphisation se fait localement, au niveau de chaque maille élémentaire de la structure.
Dès que la concentration totale en défauts cristallins ponctuels dépasse le seuil d’amorphisation
(accessible à l’utilisateur), alors DADOS identifie comme « silicium amorphe » la maille considérée. A
partir de là, la plupart des informations sur les défauts contenus dans cette maille sont effacées (en
particulier leurs coordonnées). DADOS ne garde en mémoire que leur type et leur nombre, notamment
pour conserver l’information sur l’excès de lacunes ou d’interstitiels. En outre, chaque particule qui entre
plus tard en interaction avec une zone amorphe sera également effacée ; seuls sont conservés son type et
le nombre de ces particules dans la maille amorphe.
Afin de rendre le simulateur moins gourmand en mémoire et temps de calcul, le paramètre
MaxIVStorage a été implémenté. Il s’agit d’un seuil de concentration au-delà duquel les particules I et V
sont effacées de la mémoire, avant même l’amorphisation de la maille. A nouveau, on ne garde que leur
nombre. Cela permet de limiter un peu l’utilisation de la mémoire, et, dans une moindre mesure,
d’économiser du temps de calcul, sans toutefois limiter la précision des simulations.
Le seul évènement possible au sein d’une maille amorphe est finalement la recristallisation, que nous
allons voir ci-après.
Une description plus détaillée sera donnée quant à la modélisation de l’amorphisation dans le paragraphe
II.2.2.
81
Chapitre II
La simulation atomistique
II.2.1.5.2 La recristallisation
L’évènement de recristallisation d’une zone amorphe n’est possible que lorsque celle-ci possède au moins
une interface amorphe – cristal. A une température donnée, l’interface amorphe – cristal avance à travers
la partie amorphe avec une vitesse Vrecrist obéissant à une loi d’Arrhénius. Dans DADOS, il faut donc un
δd
pour transformer une maille élémentaire amorphe de longueur δd en silicium
temps δt =
Vrecrist
cristallin. DADOS recristallise en priorité les mailles qui présentent le maximum d’interfaces avec du
cristal. Cela permet de laisser l’interface globale et d’éviter ainsi de retrouver des zones amorphes isloées
artificiellement.
La recristallisation produit un cristal parfait ; toutes les paires interstitiel – lacune sont recombinées.
L’excès de défauts cristallins ponctuels est déplacé dans une maille amorphe contiguë. Le front de
recristallisation repousse ainsi cet excès de défauts cristallins ponctuels, éventuellement vers les interfaces.
Quand le front de recristallisation rencontre une interface, tous les défauts cristallins ponctuels se
recombinent, et sont donc effacés de la simulation. Si le front ne rencontre aucune interface alors qu’il
n’a plus de zone amorphe à recristalliser (dans le cas par exemple d’une couche amorphe enterrée, où
deux fronts de recristallisation progressent à la rencontre l’un de l’autre), les défauts cristallins ponctuels
sont alors déposés. Leur agglomération, durant la suite du ou des recuits, reproduit les observations
expérimentales de défauts étendus à l’emplacement où se rencontrent les divers fronts de recristallisation
[Jaraíz01].
Lors de la recristallisation, les impuretés sont gérées comme suit. Pour chaque impureté, il existe une
probabilité de maintien de l’impureté dans la maille recristallisée. Si le nombre aléatoire généré est
supérieur à cette probabilité, l’impureté n’est pas déposée et elle est donc transférée à la maille amorphe
voisine, comme c’est le cas pour l’excès de défauts cristallins ponctuels. Après ce choix pour chacune des
particules de la maille, si la concentration en impureté de la maille recristallisée dépasse le seuil de
solubilité limite (solubilité après recristallisation, différente de celle à l’équilibre), l’impureté se dépose
sous forme de complexes avec des défauts cristallins ponctuels. La taille des agglomérats mixtes formés
est imposée (en nombre d’impuretés qu’il contient), sauf si le nombre d’impuretés disponible est trop
petit. Dans tous les cas, le nombre de défauts cristallins ponctuels associés au complexe est choisi de telle
sorte que le coût énergétique de ce dernier soit le plus faible possible. Ce coût correspond à la somme
des énergies de l’agglomérat AnXm et des énergies de formation des défauts cristallins ponctuels Ef(X),
l’énergie de formation des impuretés Ef(A) isolées étant prise comme référence (et donc considérée
comme nulle) :
E form (A n X m ) = E tot (A n X m ) + m ⋅ E f (X ) + n ⋅ E f (A )
123
E form (A n X m ) = E tot (A n X m ) + m ⋅ E f (X )
0
.
II.2.1.6 Les effets de charge et la dépendance avec le niveau de Fermi
Plusieurs points sont à retenir.
82
Eq. II.33
Chapitre II
La simulation atomistique
DADOS considère que tous les dopants en site substitutionnel sont électriquement actifs ; en d’autres
termes, on suit l’approximation de l’ionisation complète. Les dopants de la colonne III seront chargés
négativement et ceux de la colonne V positivement.
Les paires existent avec différents états de charge, selon l’espèce. Les interstitiels peuvent être neutres,
simplement positifs ou simplement négatifs. Les lacunes sont implémentées avec tous les états de charge
possibles entre doublement négatif et doublement positif.
Le calcul de la densité de charges en un point de la structure doit supprimer les effets dus à la
discrétisation de l’espace dans DADOS (mailles élémentaires), tout en assurant que l’information sur la
charge n’est pas perdue au cours du calcul de moyennes. Dans une des mailles élémentaires de la
simulation, le calcul de la concentration d’électrons prend en compte d’une part la concentration
intrinsèque à la température à laquelle on se trouve, puis le niveau de dopage (N = Ndonneur - Naccepteur).
Pour ce dernier on utilise une valeur moyennée sur un volume (sphéroïdal) de rayon rD (rayon de
Debye) :
rD =
T
,
4 ⋅π⋅q2 ⋅N
Eq. II.34
T étant par ailleurs la température.
Le niveau de Fermi eF est ensuite calculé pour chaque maille élémentaire à partir de cette concentration
d’électrons, et en utilisant la statistique de Fermi – Dirac.
II.2.1.7 Pour aller plus loin
Pour une description plus complète et plus détaillée, le lecteur pourra se procurer le manuscrit de thèse
d’Ignacio Martin-Bragado [MartinBragado04a], disponible en espagnol et en anglais, et lire les articles
des
références
[Jaraíz98/99/00/07,
MartinBragado04a/04b/04c/05a/05b,
Castrillo05/07,
Mok05].
II.2.2 Participation au développement et au calibrage de DADOS
II.2.2.1 De l’implémentation des mécanismes d’amorphisation : quelques détails
supplémentaires
Nous nous sommes précédemment peu étendus sur les mécanismes d’amorphisation dans DADOS
(paragraphe II.2.1.5.1). Nous allons donc donner à présent plus de détails.
Voyons tout d’abord comment est géré le taux de recombinaison interstitiel – lacune dans les poches
amorphes. La fréquence de recombinaison au sein d’une poche amorphe est régie par une loi
d’Arrhénius, avec un préfacteur et une énergie d’activation. L’énergie d’activation augmente avec la taille
de la poche amorphe, déterminée comme le nombre de paires interstitiel – lacune qu’elle contient. Enfin
et logiquement, l’énergie de recombinason des plus grosses poches amorphes doit être égale ou inférieure
à l’énergie de recristallisation du silicium amorphe. Cela revient à dire qu’une région fortement
83
Chapitre II
La simulation atomistique
endommagée (poche amorphe) de taille infinie pourrait être considérée comme une région de silicium
amorphe.
Toujours à propos des poches amorphes, n’oublions pas de préciser que lorsque deux ou plusieurs
poches amorphes, au cours de leur évolution, se superposent spatialement, elles sont regroupées en une
seule entité dans DADOS. Pour des raisons d’économie de mémoire cependant, cette fusion ne
s’effectue que pour des tailles de poches amorphes inférieures à 50 paires interstitiel – lacune.
Comme nous l’avons précisé plus haut, lorsqu’une maille élémentaire de la simulation voit sa
concentration de défauts dépasser le seuil d’amorphisation, elle devient du silicium amorphe. Or ces
mailles élémentaires sont suffisamment petites (de l’ordre du nm3) pour que la concentration locale de
défauts cristallins puisse ne jamais atteidre le seuil d’amorphisation, même dans une zone plus large très
fortement endommagée. Ce problème de statistique, inhérent à la simulation atomistique, pourrait
conduire à la formation d’îlots cristallins au sein d’une région amorphisée, et ce de manière tout à fait
artificielle. De tels petits îlots se comporteraient en effet comme des germes de recristallisation, et leur
présence n’a encore jamais été observée expérimentalement. Pour éviter cet artefact, un mécanisme de
lissage des zones amorphes a été implémenté. A chaque fois qu’une maille élémentaire est amorphisée, le
programme regarde également la configuration des mailles voisines. La concentration de défauts
cristallins ponctuels moyen sur toutes ces mailles est-il supérieur au seuil d’amorphisation ? La
proportion de mailles encore cristallines parmi les voisines est-elle inférieure à 1/3 ? Si ces deux
conditions sont remplies, toutes les mailles voisines considérées seront amorphisées. Le nombre de
mailles élémentaires considérées comme « voisines » est contrôlé par le volume d’effondrement du réseau
(Lattice Collapse). Ce paramètre détermine le volume minimum qu’un grain de cristal doit occuper dans
une matrice amorphe pour ne pas être amorphisé à son tour. Ce volume est ensuite traduit par le logiciel
en nombre de mailles voisines à prendre en considération. La signification physique de ce volume
d’effondrement du réseau est simplement la suivante : un grain de cristal inclus dans une matrice
amorphe n’est stable qu’au-delà d’un certain volume. Si l’on inverse le problème pour regarder la
cristallsation de silicium amorphe, le volume d’effondrement du réseau est aussi la taille minimale qu’un
grain de cristal doit avoir dans une matrice amorphe pour initier la croissance d’un grain [Spinella98,
Kahn02, Izumi05].
Pour résumer, les paramètres qui permettent de calibrer l’accumulation de défauts et l’amorphisation
dans DADOS sont la fréquence de recombinaison des paires dans les poches amorphes (préfacteur et
énergies), le seuil d’amorphisation, et le volume d’effondrement du réseau.
II.2.2.2 Calibrage de l’amorphisation
Nous allons présenter ici un des résultats les plus satisfaisants que nous ayons obtenus en calibrant
l’accumulation de défauts dans le silicium. L’expérience, décrite dans [Schultz91], consiste en une
implantation de silicium à haute énergie (1000 keV) et à une dose de 1x1015 cm-2. La température de la
plaque est artificiellement maintenue constante. Pour trois valeurs de flux moyen d’implantation, des
implantations à plusieurs températures sont ainsi effectuées. Une analyse de l’accumulation de défauts est
effectuée par étude de collision de retour d’ions hélium canalisés (RBSC pour Rutherford Back-Scattering
84
Chapitre II
La simulation atomistique
Channeling), en particulier à la profondeur d’endommagement maximal. La valeur de cet
endommagement atteint un niveau maximal de saturation quand une couche amorphe continue est
formée à la profondeur étudiée. C’est cette valeur qui sert de référence, la concentration relative de
défauts étant ensuite tracée en fonction de la température d’implantation. Expérimentalement, il existe
une transition abrupte entre des températures « froides » pour lesquelles une couche amorphe continue
se forme, et des températures « chaudes » pour lesquelles l’accumulation de défauts est beaucoup plus
faible. Cette température de transition, dite aussi température critique d’amorphisation, dépend bien
entendu du flux moyen d’implantation. Nous avons tenté de reproduire ces expériences avec nos
simulations. Pour cela, nous avons simulé une implantation à une énergie plus faible
qu’expérimentalement, car à 1000 keV la simulation aurait nécessité plus de mémoire et de temps que
disponible. Cependant les simulations pourront être comparées aux expériences, car les valeurs critiques
d’amorphisation ne dépendent que très faiblement de l’énergie d’implantation pour des atomes de masse
comparable à celle du silicium [Dennis76]. Les paramètres de DADOS permettant de calibrer
l’accumulation de défauts, et donc l’amorphisation, pendant une implantation ionique, sont décrits dans
le paragraphe II.2.2.1.
Le volume d’effondrement du réseau permet d’abord de fixer le caractère plus ou moins abrupt de la
transition en fonction de la température. La position de cette transition est ensuite ajustée avec le seuil
d’amorphisation d’une part, et le préfacteur de recombinaison des poches amorphes d’autre part. Les
énergies de recombinaison des paires lacune – interstitiel dans les poches amorphes n’ont pas été
changées ici, car elles sont surtout utiles pour calibrer l’accumulation de défauts par des impuretés de
différentes masses, formant des poches amorphes de différentes tailles.
Fraction de volume amorphisé (/)
Le résultat final de ce calibrage est présenté sur la Figure II.10.
1.0
0.8
Flux moyen 2.88e11_Expérience
Flux moyen 2.88e11_Simulation
0.6
Flux moyen 3.57e12_Expérience
Flux moyen 3.57e12_Simulation
0.4
Flux moyen 2.63e13_Expérience
Flux moyen 2.63e13_Simulation
0.2
0.0
260
280
300
320
340
360
Température d'implantation (°C)
380
Figure II.10. Comparaison des résumtats obtenus sur le calibrage de l’amorphisation. Données expérimentales
d’après [Schultz91].
II.2.2.3 Une nouvelle commande : améliorer la simulation de l’accumulation de
dommages lors de l’implantation ionique
85
Chapitre II
La simulation atomistique
II.2.2.3.1 La génération et l’accumulation des défauts au cours de l’implantation ionique
Nous avons déjà dit que l’introduction d’impuretés par implantation ionique générait des défauts
cristallins dans le silicium, interstitiels et lacunes. L’accumulation de ces défauts conduit parfois à
l’amorphisation du matériau. D’abord la génération de défauts dans le cristal dépend de l’espèce, de la
dose, de l’énergie, ainsi que des angles d’inclinaison et de rotation du faisceau d’implantation.
Ensuite, l’accumulation des défauts dépend de la recombinaison des paires interstitiel – lacune au sein
des poches amorphes. Considérons une cascade de défauts d’implantation. D’une part la recombinaison
des paires interstitiel – lacune sera d’autant plus rapide, c'est-à-dire d’autant plus efficace au cours de
l’implantation, que la température du cristal sera élevée (voir paragraphe II.2.1.3.2.3). D’autre part plus
le temps entre deux cascades sera important, plus il y aura de recombinaisons ; ou en d’autres termes,
moins il restera de défauts qui s’accumuleront à la prochaine cascade. Donc si le temps entre deux
cascades est plus élevé, il y aura moins d’accumulation de défauts. Le temps de relaxation moyen entre
deux cascades est directement contrôlé par le flux moyen d’implantation (average dose rate). Plus le flux
moyen d’implantation est fort, plus le temps de relaxation entre chaque cascade sera faible, et plus donc
plus l’accumulation de défauts sera efficace. La Figure II.11 illustre la recombinaison des défauts d’une
cascade au cours du temps.
impureté
interstitiel
lacune
t0
t1
t2
temps
Figure II.11. Représentation schématique de l’évolution d’une cascade de défauts cristallins ponctuels au cours
d’un temps de relaxation.
Le calcul de ce flux moyen d’implantation se fait à partir du courant du faisceau d’implantation Ifaisceau, de
la surface totale qu’il balaye Surfbalayage, et du temps d’implantation timpl
. Prenons une vision
(tot)
extrêmement simplifiée de l’implanteur. On lui spécifie la dose et la valeur du courant du faisceau (ou du
moins son ordre de grandeur). L’implanteur connaît la surface totale balayée par le faisceau ionique ; elle
est en effet fixée, et fonction de la géométrie de la machine, comme nous le verrons plus bas à travers
deux exemples. Alors il calcule le temps nécessaire d’implantation pour que la dose soit celle souhaitée.
La dose est en effet le rapport entre la quantité totale d’ions « envoyés » par le faisceau Nion (tot) et la
surface que celui-ci balaye:
Dose =
N ions (tot )
Surf balayage
.
Eq. II.35
Le numérateur se calcule de la manière suivante :
N ions (tot ) =
86
I faisceau
⋅ t impl (tot ) ,
q ion
Eq. II.36
Chapitre II
La simulation atomistique
et donc la dose est
Dose =
I faisceau t impl (tot )
⋅
.
q ion Surf balayage
Eq. II.37
A partir de là le flux moyen d’implantation FImoy est :
FI moy =
Dose
t impl (tot )
=
I faisceau
.
q ⋅ Surf balayage
Eq. II.38
II.2.2.3.2 Description des implanteurs étudiés
Deux types d’implanteurs ont été pris en considération au cours de cette thèse, sur lesquels étaient
effectuées la plupart des implantations de l’Alliance Crolles2.
- Le premier a été développé par Applied Materials. Le faisceau a un axe de symétrie cylindrique : sa
marque sur la plaque est donc un disque. Il a une densité de type gaussien autour de son axe de
symétrie. Cet implanteur permet de procéder à l’implantation de treize plaques à la fois (implanteur
multiplaques). Elles sont disposées sur une roue dont les treize bras supportent les plaques. La roue
tourne sur elle-même et dans le même temps se déplace latéralement, en sorte que le faisceau balaye
les treize plaques sur toute leur surface. La Figure II.12 (a) montre schématiquement la roue et ses
mouvements par rapport au faisceau, qui, lui, reste fixe. Ainsi, les mouvements du faisceau par rapport
à une plaque s’apparentent à un balayage bidirectionnel. Le premier correspond à la rotation de la
roue, et présente donc un rayon de courbure égal au rayon de la roue ; on le considèrera ici très grand,
c'est-à-dire que l’on considèrera le balayage comme rectiligne. Le second correspond au déplacement
latéral de la roue. La Figure II.12 (b) représente une plaque et le faisceau, ainsi que les déplacements
de celui-ci par rapport à celle-là.
a
b
c
Figure II.12. Représentation schématique de la roue et sa fournée de plaques (a) et des mouvements relatifs
du faisceau sur une plaque (b). Surface totale balayée par le faisceau (c).
Pour cet outil, la surface balayée par le faisceau est une couronne contenant les treize plaques,
représentée sur la Figure II.12 (c). Sa superficie Surfbalayage est fonction du diamètre de la roue Droue
(de centre de plaque à centre de plaque) et de l’amplitude de balayage Dbalayage de celle-ci :
87
Chapitre II
La simulation atomistique
Surf balayage

D balayage
= π ⋅  D roue +
2

2
D
 
 −  D roue − balayage
2
 



2

,

Eq. II.39
soit
Surf balayage = 2 ⋅ π ⋅ D roue ⋅ D balayage .
Eq. II.40
- Le second implanteur est celui de Varian. Le faisceau est un ruban dont la longueur Lfaisceau égale le
diamètre de la plaque. Il a une densité ionique de répartition gaussienne dans le sens de la largeur. Les
plaques sont traitées une à une (implanteur monoplaque), passant devant le faisceau (fixe), comme le
montre la Figure II.13. Quant à la surface balayée par la plaque, il s’agit simplement d’un rectangle de
largeur Lfaisceau et de longueur l’amplitude de balayage Dbalayage :
Surf balayage = L faisceau ⋅ D balayage .
a
Eq. II.41
b
Figure II.13. Représentation schématique d’une plaque (a) et du mouvement relatif du faisceau sur la plaque
(b).
II.2.2.3.3 Modélisation : problèmes et solution, ou l’implantation par impulsions
Tous les paramètres influençant l’endommagement du silicium, dont le flux moyen d’implantation,
doivent être pris en compte pour simuler correctement l’accumulation de défauts et l’amorphisation du
silicium au cours d’une implantation ionique.
Mais en simulation atomistique les surfaces simulées (quelques centaines de nanomètres carrés) sont très
petites devant les surfaces balayées par le faisceau (quelques centaines de centimètres carrés), donc
équivalentes à un point sur la plaque. De ce point de vue, des ions ne sont implantés que pendant
l’exposition du point au faisceau ; ce qui correspond à des impulsions d’implantation. Commençons par
le cas de l’implanteur monoplaque, qui est le plus simple. Si l’on considère un point sur la plaque, chaque
balayage va donner lieu à une impulsion. Cela va résulter en une série d’impulsions régulières (Figure
II.14 (a)). En ce qui concerne l’implanteur multiplaques, à chaque tour de roue une bande de la plaque
est exposée au faisceau ; mais à chaque fois, la roue s’est un peu déplacée latéralement. Si, encore une
fois, on prend le point de vue d’un point de la plaque, chaque tour de roue va donc donner lieu à une
impulsion, tant que le point se trouve dans la bande exposée. (voir Figure II.15) Finalement,
88
Chapitre II
La simulation atomistique
l’implantation se fera en rafales d’impulsions, chaque impulsion correspondant à un tour de roue et
chaque rafale correspondant à un balayage (Figure II.14 (b)).
(a)
intensité de la radiation
Implanteur multiplaques :
un balayage
= une rafale
temps
(a)
intensité de la radiation
Implanteur monoplaque :
un balayage
= une impulsion
temps
Figure II.14. Modes d’implantation pour les deux outils : impulsions en rafales pour l’implanteur multiplaques
(a) et en impulsions régulières pour l’implanteur monoplaque (b).
première
impulsion
balayage
rotation
seconde
impulsion
89
Chapitre II
La simulation atomistique
Figure II.15. Pour l’implanteur multiplaques, à chaque révolution une impulsion d’implantation est effectuée
sur une bande de la plaque.
Les caractéristiques des impulsions dépendent de l’outil d’implantation. Précisons en particulier
l’influence du courant du faisceau, mais aussi de sa surface sur la plaque (gouvernée par son diamètre ou
sa largeur suivant le type d’implanteur), et enfin des caractéristiques géométriques de l’implanteur ;
notamment, la vitesse de balayage et la vitesse de rotation de la roue pour l’implanteur multiplaques, et la
vitesse de balayage pour l’implanteur monoplaque, influencent les caractéristiques des impulsions.
Dans ces conditions, comment calculer alors le flux moyen d’implantation ? Surtout, est-il le même à
cette échelle qu’à l’echelle de la plaque entière ? Un autre problème est soulevé quand on considère des
impulsions d’implantation au lieu d’une implantation uniforme dans le temps. On peut définir le flux
instantané d’implantation, qui est le flux d’implantation vu par un point sur la plaque quand il
passe à travers le faisceau. C’est donc le flux d’implantation pendant un temps très court, par exemple
pendant une impulsion. Il est alors tout à fait cohérent de se demander quel est son effet sur
l’endommagement du silicium pendant une implantation…
Afin de résoudre ces problèmes, une nouvelle commande a été implémentée dans DADOS par l’équipe
de l’Université de Valladolid, au cours de ce travail de thèse. Elle permet d’effectuer les implantations par
une série d’impulsions, regroupés en rafales. Les caractéristiques de ces impulsions sont décrites par cinq
paramètres d’entrée, dont deux sont spécifiques à ces rafales :
- le nombre de cascades implantées à chaque impulsion, Ncasc/impulsion ;
- la durée d’une impulsion timpulsion ;
- le temps entre deux impulsions ∆timpulsion ;
- le nombre d’impulsions par rafale Nimplusions/rafale ;
- le temps entre deux rafales ∆trafale.
Afin de ne pas trop alourdir le corps de ce manuscrit, les calculs nécessaires seront décrits dans les
annexes. Malgré cela, il semble important de mettre ici en exergue une approximation effectuée pour ces
calculs. On considère que les faisceaux ont une répartition uniforme dans les deux directions du plan de
la plaque. Il s’agit d’une approximation de second ordre, comparée à celle habituellement admise lorsque
les implantations sont simulées comme uniformes dans le temps.
Nous allons à présent donner de petites remarques à propos de ces paramètres d’entrée. L’implanteur
monoplaque donnant lieu à une série d’impulsions régulières, il est donc judicieux de régler le nombre
d’impulsions par rafale à une grande valeur, de sorte que toutes les impulsions soient contenues dans une
seule rafale. De plus, on met également la valeur du temps entre deux rafales à 0 ; ainsi, même si le
nombre d’impulsions par rafale est plus grand que prévu, il n’y aura pas de discontinuité entre les rafales,
et les impulsions seront régulièrement espacées. Il restere donc trois paramètres à calculer.
Dans le cas de l’implanteur multiplaques, nous aurons besoin de calculer les cinq paramètres
précédemment cités.
II.2.3 Matériel et temps de calcul
90
Chapitre II
La simulation atomistique
Les moyens informatiques utilisés au cours de cette thèse sont des plus accessibles. DADOS est compilé
pour Windows. Par ailleurs, toutes nos simulations ont été effectuées sur des ordinateurs de bureau ou
portables. Le processeur doit être suffisamment puissant pour que les temps de calculs restent
raisonnables ; de même, la mémoire vive nécessaire varie entre 500 Mo (pour des petites simulations de
prise en main) et 2 Go (pour des simulations permettant de suivre l’évolution des défauts étendus, c'està-dire des surfaces simulées de l’ordre de 104 nm2).
Voici, à titre d’exemple, quelques temps typiques de simulation. La surface simulée est de 402 nm2, pour
une profondeur de 200 nm. L’implantation de germanium (30.1 keV, 5x1014 cm-2, 1x1012 cm-2.s-1) est
suivie de l’implantation du bore (1.1 keV, 5x1014 cm-2, 1x1012 cm-2.s-1), le tout étant finalement recuit à
800°C pendant 200s. Les temps proposés dans le Tableau II.1 prennent également en compte la
génération des cascades par Marlowe. Les propriétés double cœur ou 64 bits des processeurs n’ont pas
été exploitées pour ces comparaisons.
Tableau II.1. Temps de calculs pour une simulation typique avec différents processeurs.
Processeur
Temps de simulation
Intel Pentium 4, 2.8 GHz
42 minutes 11 secondes
Intel Core2 Duo, 2 GHz
28 minutes 10 secondes
AMD Turion 64 X2, 1.61 GHz
41 minutes 09 secondes
II.3 Résultats de simulation DADOS
Dans cette partie, nous commencerons par regarder dans quelles conditions les résultats de DADOS
pourront être considérés comme fiables, et quand il faudra interpréter les résultats avec précaution.
Quelques-unes des plus significatives simulations effectuées afin de tester le calibrage de DADOS seront
donc présentées, et comparées aux résultats expérimentaux auxquels elles se réfèrent.
Deux études, objets de publications au cours de la thèse, trouveront leur place dans la suite de cette
partie.
Enfin, nous essaierons de cerner les limites de DADOS, et de voir quelques unes des perspectives en
simulation atomistique des procédés.
II.3.1 Test de l’outil
L’utilisation d’un outil de simulation commence, après sa prise en main et l’obtention du matériel
informatique nécessaire, avec quelques tentatives de reproduction d’expériences connues. Nous allons
voir dans cette partie sous quelles conditions les résultats des simulations effectuées avec DADOS sont
proches – ou loin – des résultats expérimentaux.
Si la diffusion des dopants sera le premier phénomène examiné, l’évolution des défauts étendus retiendra
plus particulièrement notre attention.
91
Chapitre II
La simulation atomistique
II.3.1.1 La diffusion des dopants après implantation
Seuls le bore et l’arsenic ont fait l’objet d’études avec DADOS. Les autres dopants, tels le phosphore et
l’indium, sont implémentés avec les mêmes mécanismes (activation, paires, agglomérats, etc…) mais avec
des paramètres mal calibrés… Pour ces différents essais, nous nous sommes concentrés sur des
conditions proches de celles utilisées à Crolles. Pour les implantations, elles sont assez variées du fait de
l’architecture des MOSFETs. Pour les recuits en revanche, un recuit rapide de type spike a retenu toute
notre attention pour l’activation et la diffusion des dopants. Quelques profils de diffusion après des
recuits à plus basse température, entre 700°C et 850°C, ont également été testés, au hasard des
publications rencontrées. Les résultats, parfois excellents pour le bore [Pelaz97/99a], un peu moins
impressionnants de qualité pour l’arsenic, ne seront pas présentés ici.
II.3.1.1.1 Bore
II.3.1.1.1.1 Implantations de type poche : faible dose et moyenne énergie
Les poches des nMOS sont généralement implantées par la molécule BF2, ce qui permet, par rapport au
monomère de bore, de diminuer l’effet de canalisation et, pour une même énergie, la profondeur du
profil implanté. L’énergie d’implantation est de 30 keV, pour une dose de 4x1013 cm-2. L’implantation est
inclinée de 25° par rapport à la verticale (principe des implantations poches, qui sont destinées à
surdoper le canal des transistors courts), et l’angle de rotation est de 23° (pour une réduction de l’effet de
canalisation). Les implantations poches sont très souvent accompagnées des implantations de type
extensions de source / drain (comme c’est le cas sur les dispositifs réels) ; ici, il s’agit d’arsenic à 1 keV et
d’une dose de 6.5x1014 cm-2. Par ailleurs, les conditions choisies pour les expériences présentées ici
(antérieures aux simulations) étaient précédées d’une implantation amorphisante de germanium (50 keV,
2x1014 cm-2). Ce sera donc bien entendu également le cas dans nos simulations.
Penchons-nous d’abord sur l’obtention des profils de bore après implantation : ils sont représentés sur la
Figure II.16. Le premier fait qui saute aux yeux est un léger décalage en profondeur entre les profils
simulés et les profils SIMS. Plusieurs raisons peuvent être évoquées pour l’expliquer : la première est un
éventuel décalage des profils expérimentaux. Selon la procédure utilisée, l’oxyde de surface peut n’avoir
pas ou avoir été attaqué avant l’analyse SIMS proprement dite ; dans ce dernier cas, il est possible qu’une
petite partie du silicium ait été surgravée, sur une profondeur indéterminée (mais certainement pas plus
de 1 ou 2 nm). C’est une information qu’il n’a pas été possible de connaître dans ce cas. Par ailleurs, il est
possible que la simulation elle-même, bien sûr, soit quelque peu décalée. Ce peut être parce que l’oxyde
de surface utilisé dans la simulation n’a pas la même épaisseur que dans les expériences. Cela peut
changer l’effet de canalisation, d’une part, en plus du décalage de l’origine. Par ailleurs, la chute de
concentration en queue de profil semble un peu brutale sur les profils simulés. Cela est une
caractéristique classique des simulations Monte Carlo : des concentrations trop basses représentent des
évènements peu probables ; avec les surfaces typiquement simulées, quelques atomes seulement
représentent déjà des concentrations de l’ordre de 1016 à 1017 cm-3. Ainsi, la queue du profil
expérimentale, de faible concentration, ne peut pas être parfaitement reproduite. Cependant, hormis ce
léger décalage et cette chute un peu brutale en queue de profil, la forme générale du profil de bore est
92
Chapitre II
La simulation atomistique
bien reproduite : ces différences sont en outre assez petites devant les transformations subies par le profil
au cours du recuit.
1.E+22
DADOS B implanté
Concentration (cm-3)
1.E+21
SIMS B Implanté
1.E+20
1.E+19
1.E+18
1.E+17
1.E+16
0
20
40
60
80
100
120
140
160
180
200
Profondeur (nm)
Figure II.16. Profils de bore simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une
implantation de BF2, 30 keV, 4x1013 cm-2, après implantation. Les profils simulés ont été décalés en profondeur
de manière à ce que l’interface silicium – oxyde se trouve à 0 nm.
Regardons maintenant le résultat de la simulation après recuit, présenté sur la Figure II.17. Le profil
simulé reproduit très bien la courbe donnée par le SIMS. Deux détails sont toutefois remarquables.
- La queue de profil est très bruitée sur la simulation : c’est le problème, évoqué plus haut, des faibles
concentrations. Quand le nombre d’atomes devient faible, une baisse de concentration se traduit par la
présence d’atomes de plus en plus loin les uns des autres, chaque atome représentant une
concentration déjà remarquable (ici, 3.47x1016 cm-3). En bref, les écarts entre le profil simulé et le profil
expérimental, aux faibles concentrations, ne sont pas le signe de mauvais résultats.
- Le profil de bore a tendance à se creuser au niveau de la jonction, ou, plus exactement, le bore
s’accumule de part et d’autre de la jonction. Cela donne lieu à la formation de deux bosses de
concentration sur le profil de bore. Cette accumulation, due aux effets de champ électrique, a déjà été
décrite dans le paragraphe I.2.3.1. Or on peut observer ici que ce « creux » de concentration est décalé
dans nos simulations. Encore une fois, ce n’est pas une mauvaise prise en compte des phénomènes en
jeu pour le bore qui est en cause, mais plutôt une mauvaise simulation de l’arsenic. Le phénomène
d’accumulation du bore de part et d’autre de la jonction est en effet totalement déterminé par la forme
du profil d’arsenic, dans ce cas très abrupt (extension ultrafine de source et drain). Comme nous le
verrons par la suite, les profils d’arsenic sont assez peu fiables dans ces conditions. Si le profil d’arsenic
simulé était correct, le profil de bore suivrait parfaitement la courbe SIMS expérimentale, sans
décalage.
93
Chapitre II
La simulation atomistique
1E+22
Concentration (cm-3)
1E+21
SIMS B Recuit
DADOS B Recuit
1E+20
1E+19
1E+18
1E+17
1E+16
0
20
40
60
80
100
120
140
160
180
200
Profondeur (nm)
Figure II.17. Profils de bore simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une
implantation de BF2, 30 keV, 4x1013 cm-2, après un recuit rapide spike à 1080°C.
En première conclusion, l’implantation et surtout la diffusion du bore sont bien reproduites dans ces
conditions de faible dose : en particulier l’agglomération aux faibles concentrations (≤ 1019 cm-3), le
coefficient de diffusion effectif du bore – donc, vraisemblablement, les préfacteurs et énergies des
mécanismes d’appariement et de migration des paires – et les effets de charge, semblent fiables pour des
recuits de ce type. A noter enfin, la préamorphisation par le germanium (environ 65 nm) a joué un rôle
sur la diffusion et l’activation du bore, également bien pris en compte dans DADOS ; d’autres résultats
de diffusion du bore après préamorphisation au germanium seront présentés dans le paragraphe Erreur !
Source du renvoi introuvable..
II.3.1.1.1.2 Implantations de type extensions de source / drain : dose moyenne et très
faible énergie
Ici encore, le dopage au bore se fait à l’aide de l’ion BF2+ : la profondeur d’implantation est extrêmement
critique pour ces implantations à très faible énergie. Pas de préamorphisation dans le cas étudié, mais une
énergie de 1 keV pour une dose de 5x1014 cm-2. L’effet de canalisation est limité par l’implantation
antérieure des poches en arsenic, certes à faible dose mais fortement génératrice de défauts – l’arsenic
étant un ion lourd. Ces poches en arsenic, vu leur influence sur l’implantation d’une part et sur la
diffusion du bore d’autre part, sont également simulées : l’arsenic est alors implanté à 50 keV et 3.7x1013
cm-2, avec des angles d’inclinaison et de rotation de 25° et 23°, respectivement.
Voyons donc d’abord le profil après implantation, représenté sur la Figure II.18. Aux concentrations
significatives, le profil simulé est légèrement moins abrupt que le profil expérimental. Cela est dû à la
nature du code d’implantation utilisé, Marlowe, qui ne peut simuler la génération de cascades que dans
une structure parfaitement cristalline ou dans un matériau entièrement amorphe. Puisque des défauts
sont générés au cours de l’implantation, il est nécessaire d’ajuster le profil implanté, de manière artificielle,
en simulant deux implantations distinctes : une dans une cible cristalline et la suivante dans une structure
amorphe. La dose totale implantée en simulation est la somme des doses de ces deux implantations ; la
dose de chacune étant par ailleurs choisie pour ajuster au mieux le profil implanté. Ici l’implantation est
donc satisfaisante, le décalage obtenu entre le profil simulé et le profil expérimental étant assez peu
important au vu de la diffusion ultérieure du bore.
94
Chapitre II
La simulation atomistique
1.E+22
Concentration (cm-3)
1.E+21
DADOS B Implanté
SIMS B Implanté
1.E+20
1.E+19
1.E+18
1.E+17
1.E+16
0
20
40
60
80
100
120
Profondeur (nm)
Figure II.18. Profils de bore simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une
implantation de BF2, 1 keV, 5x1014 cm-2, après implantation.
En ce qui concerne la diffusion du bore justement, les profils obtenus après recuit sont présentés sur la
Figure II.19. On peut observer que la diffusion est légèrement trop importante. La première raison est
celle de la perte de dose : une partie du bore implanté va diffuser vers l’interface silicum – oxyde au cours
du recuit, et, de là, diffuser dans l’oxyde – puis hors de l’échantillon : c’est l’exodiffusion. Sans mettre en
doute la fiabilité de ces analyses SIMS, la perte de dose expérimentale est ici conséquente (> 50%). Dans
le cas des simulations, le piégeage par l’interface et / ou l’émission des atomes de bore piégés dans
l’oxyde est trop faible pour reproduire cette perte de dose. Cependant, la forme générale du profil simulé
est très proche de celle expérimentale. Cette forme en épaule, caractéristique de la diffusion accélérée et
transitoire (voir paragraphe I.2.5.1), est très bien reproduite. La queue de profil semble plus étalée, mais
est très bruitée, du fait, encore une fois, des faibles concentrations. Sur des conditions proches de cellesci, nous savons désormais que la perte de dose est quelque peu sous-estimée par DADOS, mais que,
comme pour des concentrations plus faibles, les phénomènes d’agglomération (pas d’agglomération dans
ce cas) et de diffusion sont correctement pris en compte.
1.E+22
Concentration (cm-3)
1.E+21
DADOS B Recuit
SIMS B Recuit
1.E+20
1.E+19
1.E+18
1.E+17
1.E+16
0
20
40
60
80
100
120
Profondeur (nm)
Figure II.19. Profils de bore simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une
implantation de BF2, 1 keV, 5x1014 cm-2, après un recuit rapide spike à 1080°C.
II.3.1.1.1.3 Implantation de type source / drain : très forte dose et faible énergie
95
Chapitre II
La simulation atomistique
Dans ce cas précis, pour les conditions que nous avons décidé de regarder ici, c’est l’ion monomère B+
qui est utilisé. L’implantation se fait à 1 keV, pour une dose de 2x1015 cm-2 ; l’angle d’inclinaison est de 7°,
valeur courante pour limiter les effets de canalisation [Faehn].
Les profils obtenus après implantation sont représentés sur la Figure II.20 (a). On remarquera très
vite que les doses des deux profils, simulé et expérimental, ne s’accordent pas. L’erreur se répartit entre
les analyses SIMS et les modèles expérimentaux. Les premières affichent une dose supérieure à la dose
normalement implantée, ce qui est en partie dû au pic présent à la surface, qui est un artefact de la
mesure SIMS [Dowsett02]. Les seconds parce que la dose commandée était bien de 2x1015 cm-2, mais
qu’une partie des atomes entrant dans le silicium en ressortent, par la surface d’impact, par collisions
successives. C’est le phénomène de collision de retour (backscattering en anglais), exploité avec l’hélium
comme moyen de caractérisation des matériaux (par exemple dans [Schultz91]). Il est donc nécessaire
d’ajuster la dose commandée pour que la dose finalement présente dans le silicium soit celle requise, car
c’est par analyse du matériau que les machines d’implantation sont réglées pour effectivement implanter
les bonnes doses. Cela n’a pas été fait à l’époque des simulations présentées ici, mais plus tard ;
malheureusement, les profils après recuit n’ont pas été améliorés pour autant.
A propos des profils après recuit, il est visible sur la Figure II.20 (b) que l’agglomération est sousestimée. Plus que la trop faible concentration maximale de bore après recuit (en partie due aux erreurs
d’analyse expérimentale et aux erreurs sur le profil implanté simulé), c’est la diffusion trop importante du
bore qui nous donne cette indication. En effet, nous avons vu précédemment que le coefficient de
diffusion effectif du bore dans DADOS permettait de reproduire des expériences dans des conditions
sans agglomération significative. Ainsi, certains types d’agglomérats mixtes bores – interstitiels relâchent,
du fait de leur faible stabilité, des paires bore – interstitiel, mobiles, qui peuvent diffuser relativement loin
en profondeur. Du fait de ce mécanisme de diffusion, dû à la dissolution d’agglomérats, non seulement le
bore diffuse trop, mais la forme, en épaule, du profil expérimental est mal reproduite.
1.E+22
1.E+22
1.E+21
DADOS B Implanté
Concentration (cm-3)
Concentration (cm-3)
1.E+21
SIMS B Implanté
1.E+20
1.E+19
1.E+18
1.E+17
DADOS B Recuit
SIMS B Recuit
1.E+20
1.E+19
1.E+18
1.E+17
(a)
1.E+16
(b)
1.E+16
0
20
40
60
Profondeur (nm)
80
100
120
0
20
40
60
80
100
120
Profondeur (nm)
Figure II.20. (a) Profils de bore simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une
implantation de B, 1 keV, 2x1015 cm-2, après implantation. (b) Profils de bore simulés, et comparaison avec les
profils obtenus par analyse SIMS, pour une implantation de B, 1 keV, 2x1015 cm-2, après un recuit rapide spike à
1080°C.
Ainsi, en ce qui concerne le bore en général, les conditions les moins bien reproduites sont celles où la
surface joue un rôle très important (implantations à très faible énergie type extensions), ou lorsque les
concentrations élevées conduisent à une forte agglomération. Les effets de l’agglomération du bore sur sa
96
Chapitre II
La simulation atomistique
diffusion sont extrêmement marqués, même quand les différences sur la concentration de bore
aggloméré sont imperceptibles à l’œil nu.
II.3.1.1.2 Arsenic
II.3.1.1.2.1 Implantations de type poche : faible dose et moyenne énergie
Le cas auquel nous allons nous intéresser maintenant est une implantation d’arsenic à 50 keV, 3.7x1013
cm-2, avec des angles de 25° et 23° d’inclinaison et de rotation, respectivement. La Figure II.21 (a)
montre les profils correspondant. Cette implantation de type poche est accompagnée de son
implantation sœur, de type extension en BF2, déjà montrée précédemment. On observe d’abord un léger
décalage en profondeur entre les profils simulé et expérimental, qui peut être dû aussi bien à l’un qu’à
l’autre : est-ce que tout l’oxyde a été gravé avant l’analyse, et est-ce que l’épaisseur d’oxyde simulé était
exactement la même qu’expérimentalement ? Expérimentalement, l’oxyde a une épaisseur qui peut varier
de 1 à 5 nm pour ce type d’implantation. Nous avons utilisé ici une valeur de 1.5 nm. De plus, la chute de
concentration en queue de profil est un peu brutale : c’est encore une fois le problème des faibles
concentrations. A part ces détails, on observe que le profil implanté simulé est fidèle au profil
expérimental.
Après le recuit rapide, de type spike, à 1080°C, le profil d’arsenic a peu évolué (Figure II.21 (b)); dans
un contexte de jonctions toujours plus fines, c’est une caractéristique appréciée de ce dopant. Le profil
expérimental s’est légèrement étalé en direction de la surface, à partir d’une profondeur, marquée par un
très léger creux sur le profil après recuit, correspondant à l’interface cristal / amorphe initiale. C’est une
conséquence, peu marquée mais visible, de l’effet de raclette (voir paragraphe I.2.5.3.1). A cause du bruit
du profil simulé (concentration peu élevée), on n’observe pas la formation de ce creux ; mais l’étalement
vers la surface est reproduit correctement. En profondeur enfin, la simulation ne montre pas de diffusion
significative de l’arsenic, comme expérimentalement.
Dans ces conditions d’implantation à faible dose et énergie moyenne, la diffusion de l’arsenic est donc
bien simulée.
1.E+22
1.E+21
Concentration (cm-3)
Concentration (cm-3)
1.E+21
Concentration (cm-3)
1.E+22
DADOS As Implanté
SIMS As Implanté
1.E+20
1.E+19
1.E+18
(a)
1.E+17
1.E+20
SIMS As Implanté
SIMS As Recuit
1E+18
1E+17
1.E+19
0
20
40
60
80
100
120
Profondeur (nm)
1.E+18
1.E+17
1.E+16
1E+19
(b)
DADOS As Recuit
SIMS As Recuit
1.E+16
0
20
40
60
80
100
120
140
160
Profondeur (nm)
180
200
0
20
40
60
80
100
120
140
160
180
200
Profondeur (nm)
Figure II.21. (a) Profils d’arsenic simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une
implantation à 50 keV, 3.7x1013 cm-2, après implantation. (b) Profils d’arsenic simulés, et comparaison avec les
profils obtenus par analyse SIMS, pour une implantation à 50 keV, 3.7x1013 cm-2, après un recuit rapide spike à
1080°C.
II.3.1.1.2.2 Implantations de type extensions de source / drain : dose moyenne et très
faible énergie
97
Chapitre II
La simulation atomistique
Dans le cas présenté ici, l’implantation d’arsenic à 1 keV et 6.5x1014 cm-2 est bien sûr accompagnée de
l’implantation de type poche correspondante (BF2, 30 keV, 4x1013 cm-2, angles de 25° et 23° pour
l’inclinaison et la rotation), mais également précédée d’une implantation amorphisante de germanium (50
keV, 2x1014 cm-2). Les profils implantés (Figure II.22) montrent cette même tendance que dans le cas du
bore, à savoir une dose effectivement implantée dans le silicium plus faible que celle indiquée par
l’analyse SIMS. Il est possible de corriger aisément cette erreur en simulation, mais ce n’est pas le but de
cette partie de test de DADOS. De plus, le profil simulé est trop abrupt. C’est probalement dû aux effets,
déjà évoqués, des implantations simulées par Monte Carlo ; cependant, il est important de noter ici que le
gradient de concentration montré par SIMS (2.7 nm/décade) est à la limite de la sensibilité de cette
technique [Cagnat08].
1.E+22
Concentration (cm-3)
1.E+21
DADOS As implanté
SIMS As Implanté
1.E+20
1.E+19
1.E+18
1.E+17
1.E+16
0
20
40
60
80
100
120
Profondeur (nm)
Figure II.22. Profils d’arsenic simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une
implantation à 1 keV, 6.5x1014 cm-2, après implantation.
Le recuit de ce profil donne les résultats de la Figure II.23. Au niveau de la distance parcourue projetée,
il reste expérimentalement un pic de concentration dû à l’agglomération de l’arsenic (alors désactivé). En
simulation, ce pic est également présent, mais trop prononcé – surtout si l’on considère que le pic après
implantation n’atteignait pas la concentration indiquée par le SIMS. Les agglomérats que l’arsenic forme
avec les lacunes semblent donc trop stables dans les simulations. De cette stabilité excessive résulte une
sous-estimation de la diffusion de l’arsenic, visible également sur les profils.
1E+22
Concentration (cm-3)
1E+21
SIMS As Recuit
DADOS As Recuit
1E+20
1E+19
1E+18
1E+17
1E+16
0
20
40
60
80
100
120
Profondeur (nm)
Figure II.23. Profils d’arsenic simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une
implantation à 1 keV, 6.5x1014 cm-2, après un recuit rapide spike à 1080°C.
98
Chapitre II
La simulation atomistique
Ainsi, la simulation de la diffusion de l’arsenic, après une implantation à dose moyenne et ultra-faible
énergie, n’est pas parfaitement reproduite. Et c’est vraisemblablement à cause des agglomérats mixtes
que forme l’arsenic, qui semblent trop stables dans nos simulations. Cette fois à nouveau, les énergies de
formation des agglomérats d’arsenic pourraient être recalibrées, ce qui n’est pas l’objectif ici.
II.3.1.1.2.3 Implantation de type source / drain : très forte dose et faible énergie
Le profil implanté à 10 keV, 2x1015 cm-2, et 7° d’inclinaison, est très bien reproduit, comme l’atteste la
Figure II.24. Pour arriver à ce résultat, nous avons scindé l’implantation en deux parties. La dose totale
implantée est correcte : pour des implantations de cette profondeur, le phénomène de collision de retour
(backscattering) est beaucoup moins important avec les ions lourds qu’avec les ions légers (tel le bore, par
exemple). Pour la première partie de l’implantation, ici d’une dose de 5x1013 cm-2, les cascades de défauts
ont été générées dans une cible cristalline ; pour la seconde (il reste donc 1.95x1015 cm-2 à implanter),
dans une cible amorphe. La première dose a été ajustée pour reproduire au mieux le profil SIMS. Elle est
d’autant plus petite que la masse de l’ion implanté est grande, mais dépend également, dans une moindre
mesure, de l’énergie. Malgré cet effort, la chute de concentration en queue de profil est toujours un peu
brutale ; mais, comme d’habitude, cela ne changera probablement pas les résultats de la diffusion.
1.00E+22
Concentration (cm-3)
1.00E+21
DADOS As Implanté
SIMS As Implanté
1.00E+20
1.00E+19
1.00E+18
1.00E+17
1.00E+16
0
20
40
60
80
100
120
Profondeur (nm)
Figure II.24. Profils d’arsenic simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une
implantation à 10 keV, 2x1015 cm-2, après implantation.
Les profils obtenus après le recuit rapide spike à 1080°C sont donnés sur la Figure II.25. La première
remarque concernera la formation des agglomérats d’arsenic. Si l’accumulation d’arsenic immobile n’est
pas visible sur les profils expérimentaux, elle apparaît en revanche clairement sur les profils simulés.
Comme dans le cas précédent, elle est donc surévaluée. L’absence d’une bosse caractéristique sur les
profils SIMS n’indique pas une absence d’agglomérats ; mais simplement que leur concentration, nulle ou
pas, est inférieure à la concentration totale d’arsenic. Malgré cette agglomération trop importante,
l’arsenic diffuse encore trop. Comme dans le cas du bore avec les interstitiels, on s’attendrait à ce que la
stabilité des agglomérats empêche l’émission de paires arsenic – lacune, mobiles. Peut-être alors les
coefficients de diffusion de ces paires sont-ils faussés, à moins que ce ne soit leur énergie de liaison (et,
partant, leur fréquence de rupture). Mais vu l’importance des agglomérats sur la diffusion, il est
imprudent de changer ces deux grandeurs avant de savoir ceux-ci suffisamment bien calibrés.
99
Chapitre II
La simulation atomistique
1.00E+22
Concentration (cm-3)
1.00E+21
DADOS As Recuit
SIMS As Recuit
1.00E+20
1.00E+19
1.00E+18
1.00E+17
1.00E+16
0
20
40
60
80
100
120
Profondeur (nm)
Figure II.25. Profils d’arsenic simulés, et comparaison avec les profils obtenus par analyse SIMS, pour une
implantation à 10 keV, 2x1015 cm-2, après un recuit rapide spike à 1080°C.
Ainsi, pour des conditions de très forte dose et à énergie moyenne, la diffusion et l’agglomération de
l’arsenic ne sont pas très bien calibrées.
II.3.1.1.3 Autres dopants
Outre ces deux dopants essentiels que sont l’arsenic et le bore, l’indium est aussi parfois utilisé ; mais c’est
surtout le phosphore qui retient l’attention, en remplacement de l’arsenic. Ce dernier présente quelques
problèmes d’activation, car son niveau dans le gap est moins en surface que celui d’autres dopants
[Pichler04]. En outre, il a tendance à favoriser les fuites de jonction, dans un contexte où les budgets
thermiques sont de plus en plus réduits. D’une part parce que son implantation génère une grande
quantité de défauts, que les recuits dissolvent de moins en moins facilement ; d’autre part parce que
l’arsenic diffuse très peu, formant alors des jonctions abruptes propice à la génération de porteurs par
effet tunnel (N.B. : les mécanismes de fuite des jonctions abruptes seront abrodés en détail dans le
Chapitre IV).
Malheureusement, ces deux dopants, et en particulier le phosphore, n’ont fait l’objet d’aucun calibrage
spécifique dans DADOS. Les mécanismes d’appariement et de diffusion des paires, d’agglomération,
etc… sont implémentés, mais les coefficients des modèles doivent encore être ajustés. Ainsi leur
utilisation dans DADOS, au cours de ces travaux, ne se fera que dans le but bien précis de simuler la
génération et l’accumulation des défauts pendant les implantations, afin de prédire l’évolution de ces
derniers au cours des étapes successives de recuits.
II.3.1.1.4 Conclusion
Pour l’arsenic et le bore, DADOS ne sera quantitativement fiable que pour des conditions de faible dose,
et de préférence à des énergies pas extrêmement faibles.
Cependant, le résultat obtenu n’est jamais absurde (même pour d’autres conditions non présentées ici,
notamment à des températures plus basses), et, qualitativement, DADOS pourra tout de même nous être
utile pour l’étude des dopants. Si les coefficients des différents modèles (énergies de liaisons, de
migrations, préfacteurs, etc…) ne sont pas ajustés parfaitement, les modèles, eux, décrivent des
phénomènes très proches de la physique réelle – en l’état actuel des connaissances. C’est pourquoi les
100
Chapitre II
La simulation atomistique
résultats ne sont jamais qualitativement faux, et les simulations effectuées avec cet outil peuvent
permettre de comprendre certains phénomènes a priori inattendus… mais nous verrons cela dans la
partie II.3.2.
Suite à ces essais de simulations, nous avons décidé, pour des estimations quantitatives, de nous fier aux
simulations continues, calibrées par l’équipe TCAD de Crolles… ou celle du CEA-LETI, finalement. Si
les calibrages effectuées avec ces outils sont plus précises, elles sont en général valables sur des plages de
paramètres expérimentaux beaucoup plus restreintes. C’est une différence importante entre DADOS et,
par exemple, SProcess (de Synopsys).
En revanche, nous allons à présent nous pencher sur l’évolution des défauts : est-ce que les résultats des
simulations seront meilleurs ?
II.3.1.2 Evolution des défauts étendus
A l’heure où commence ce projet de thèse, DADOS est le seul simulateur commercial capable de
simuler la formation et l’évolution des défauts étendus dans le silicium. A l’heure où se termine ce
manuscrit, les outils de Synopsys le permettent également : certes par l’introduction de DADOS dans
leur simulateur de procédés (module kMC de Sentaurus Process), mais aussi par des modèles continus.
Reste que nous n’avons pas eu le temps d’effectuer des comparaisons entre DADOS et les outils
Synopsys…
Ces petites études sont des comparaisons des résultats de nos simulations à diverses publications. Les
résultats expérimentaux sont obtenus par TEM, technique actuellement la plus au point pour des études
qualitatives et quantitatives de défauts étendus [Cagnat08]. Ils proviennent pour la plupart – mais pas
exclusivement – des laboratoires CEMES et LAAS de Toulouse. Une de ces études n’a pas été publiée
mais réalisée par le CEMES directement sur des échantillons de Crolles.
II.3.1.2.1 Implantations non-amorphisantes
Les données expérimentales utilisées ici ont été publiées dans par Y. Lamrani et al. [Lamrani04], ainsi
que par B. Colombeau et al. [Colombeau03]. Les résultats sont également présentés dans le manuscrit
de thèse de P. Calvo [Calvo04].
L’échantillon, fabriqué par déposition en phase vapeur, contient quatre marqueurs de bore répartis sur le
premier micron à partir de la surface, et dont la concentration est de 1x1018 cm-3. La diffusion de ces
marqueurs ne sera pas étudiée, mais leur présence dans la simulation est indispensable pour reproduire
les conditions expérimentales : le bore peut avoir une influence sur l’évolution des défauts étendus,
comme nous le verrons dans le paragraphe II.3.1.2.3. L’ implantation de silicium à 100 keV et 2x1014 cm-2
ne conduit pas à l’amorphisation du substrat. Suit un recuit rapide à 850°C, pour des temps variant de 15
à 300 secondes.
Les simulations ont été effectuées sur une profondeur de 1500 nm, et sur une surface de 300x100 nm2.
Le modèle du taux de transition des défauts {311} vers les boucles de dislocation a été choisi.
101
Chapitre II
La simulation atomistique
La Figure II.26 montre l’évolution des défauts étendus observés par microscopie électronique à
transmission, et celle obtenue par simulation atomistique. De telles comparaisons directes d’images sont
purement qualitatives, car tous les variants de défauts étendus ne sont pas visibles selon les conditions
expérimentales d’observations [Williams96, Cherkashin04].
La première vue plane montre qu’après 15 secondes de recuit, seuls des défauts {311} sont formés. Il
s’agit d’une vue plane, qui permet de connaître la densité surfacique de défauts étendus. Cette
quantification sera utilisée plus tard. Après 30 secondes de recuit, la vue transversale montre encore une
fois la présence exclusive de défauts {311}, et surtout leur localisation en profondeur. Cette localisation
est bien reproduite par la simulation. Les angles des différents variants de défauts {311} sont différents
sur la photo et sur la projection de la simulation. Cela est dû à la direction d’observation, <110> sur le
microscope et, pour des raisons de facilité de comparaison, <100> pour la simulation. La comparaison
des images dans les deux directions d’observation avec le cliché de microscopie est présentée sur la
Figure II.27. Après 250 s de recuit, les images de microscopie électronique montrent une grande
majorité de boucles de dislocation, mais il reste de grands défauts {311}. Ces derniers ne sont pas
présents dans les résultats de simulation, ce qui peut être attribué à un problème de statistique : la surface
simulée et le nombre total de défauts étendus alors formés est trop faible pour voir des {311} restants.
De plus la profondeur moyenne des défauts semble trop importante sur les simulations ; il est possible
que le phénomène de canalisation ait été surestimé, mais quoiqu’il en soit les analyses sont ici confrontées
à des problèmes de statistique. Le même problème que précédemment apparaît après 300 secondes de
recuit, où on observe encore expérimentalement quelques défauts {311}. On peut cependant comparer
les surfaces observées et simulées pour se rendre compte de la faible probabilité d’en trouver un dans la
simulation.
30 s
250 s
15 s
300 s
Figure II.26. Comparaisons des clichés de microscopie en transmission avec les projections en deux
dimensions des simulations atomistiques. Les superpositions d’images sont à la même échelle. On remarquera
le bon accord qualitatif entre les expériences et les simulations.
102
Chapitre II
La simulation atomistique
<100>
<110>
Figure II.27. La direction d’observation influe sur l’angle apparent des défauts {311} : l’échantillon expérimental
est observé par la direction générale <110>. Mais en simulation, la densité de défauts {311} apparaît alors nonuniforme sur la largeur de la boîte de simulation (parallélépipède rectangle dont les faces sont des plans {100}).
Une analyse quantitative des défauts observés expérimentalement a été effectuée dans les publications
précédemment citées.
Nous avons abordé plus haut le sujet de la profondeur moyenne des défauts étendus. Une quantification
plus précise permet de montrer que la profondeur moyenne obtenue par simulation reproduit très bien
les mesures expérimentales tant que le nombre de défauts est suffisamment important, c'est-à-dire
jusqu’à environ 200 secondes de recuit ici, quand les boucles de dislocation se forment et croissent au
détriment des défauts {311} restants. L’écart entre les profondeurs moyennes simulée et expérimentale
sur la fin du recuit est donc bien dû à un problème de statistique.
500
Profondeur (nm)
400
300
200
Profondeur moyenne_Expérience
Profondeur moyenne_Simulation
100
0
0
100
200
Temps de recuit (s)
300
Figure II.28. Evolution de la profondeur moyenne des défauts étendus au cours du recuit : comparaison des
observations expérimentales et des résultats de simulation.
Une étude portant particulièrement sur les défauts {311} montre l’évolution de leur densité (en nombre
de défauts par unité de surface) (Figure II.29 (a)) et leur longueur moyenne (Figure II.29 (b)). On
observe d’abord une chute trop brutale de la densité de défauts {311} ; c’est un artefact qui est dû à la
limitation en taille de la boîte de simulation. Plus la surface simulée est grande, plus cette chute se produit
tard au cours du recuit. Lorsque leur nombre devient trop petit, et qu’ils sont en concurrence avec des
boucles de dislocation (plus stables), les défauts {311} se dissolvent rapidement. La seconde partie de la
courbe (après 150 s) est donc faussée par un problème de taille de simulation. Leur longueur moyenne
suit logiquement la même tendance sur cette partie de la courbe, due à une dissolution trop rapide. En ce
qui concerne la première moitié du recuit, la diminution progressive de la densité des défauts {311} est
103
Chapitre II
La simulation atomistique
bien reproduite, en restant à une valeur environ une demie décade trop élevée. Il est possible que les
défauts {311} les plus petits ne soient pas détectés sur les photos de microscopie électronique à
transmission, mais cela n’explique pas une telle différence, notamment après plusieurs dizaines de
secondes de recuit. La longeur moyenne des défauts {311} est également sous-estimée sur cette partie du
recuit, tout en suivant une tendance correcte. Le décalage observé peut être également dû à une
descritpion trop simpliste, ou plus exactement trop déterministe de la géométrie des défauts {311} : leurs
longeur et largeur sont liées par la formule de Eq. II.17. Il est expérimentalement difficile de mesurer leur
largeur, ce qui rend difficile l’implémentation d’un modèle exact.
(b)
120
« Limite de détection »_Simulation
Densité_Simulation
Densité_Expérience
Longueur moyenne_Expérience
1.E+11
1.E+10
Longueur moyenne_Simulation
100
Longueur (nm)
Densité de défauts étendus (cm-2)
(a)
1.E+12
80
60
40
20
1.E+09
0
0
100
200
Temps de recuit (s)
300
0
100
200
Temps de recuit (s)
300
Figure II.29. Evolution de la densité (a) et de la longueur moyenne (b) des défauts {311} : comparaison des
résultats de simulation aux expériences.
Néanmoins, l’analyse de l’évolution du nombre d’interstitiels piégés dans les défauts étendus révèle une
tendance intéressante (Figure II.30). Leur dose totale est quasiment constante au cours du recuit, ce qui
est la signature d’une maturation d’Ostwald conservative ; cela n’est pas surprenant au vu de la
profondeur d’implantation. Mais si la simulation donne cette quantité en accord parfait avec le modèle
+1 (ce qui n’est certes pas systématiquement le cas, voir [Pelaz98]), ce n’est en revanche pas le cas des
mesures expérimentales (dose totale d’interstitiels de l’ordre de 5x1013 cm-2 au lieu de 2x1014 cm-2). Or
cette « erreur » apparaît dès le début du recuit. Si l’évaluation expérimentale du nombre d’interstitiels est
extrêmement délicate (la taille exacte des défauts étendus observés et comptés n’est pas facile à
déterminer), il est également probable qu’une partie des défauts étendus n’ait pas été détectée, ce qui
serait en accord avec les résultats de la figure précédente.
Quantité d'interstitiels (cm-2)
1.E+16
I's dans les {311}_Expérience
I's dans les {311}_Simulation
I's dans les boucles_Expérience
I's dans les boucles_Simulation
I's dans les défauts étendus_Expérience
I's dans les défauts étendus_Simulation
1.E+15
1.E+14
1.E+13
1.E+12
1.E+11
0
104
100
200
Temps de recuit (s)
300
Chapitre II
La simulation atomistique
Figure II.30. Evolution de la quantité (dose) d’interstitiels présents dans les défauts {311} et les boucles de
dislocation, et de leur quantité totale.
Enfin la présence de marqueurs de bore dans l’échantillon et l’étude de leur diffusion a été l’occasion de
mesurer, ou plus exactement d’évaluer, la diffusion accélérée et transitoire, et ainsi la sursaturation
d’interstitiels, en différentes profondeurs de l’échantillon, et ce à différents temps de recuit. En
simulation, ces données sont plus directement accessibles. Le résultat pincipal a été de voir que la
sursaturation d’intersitiels, outre de diminuer au cours du recuit, chutait aux abords de la surface – preuve
directe de la recombinaison des interstitiels à l’interface oxyde – silicium [Lamrani04]. Ces deux
tendances sont très bien reproduites par nos simulations (Figure II.31), même si le « gradient de
sursaturation » aux abords de la surface est un peu moins marqué qu’expérimentalement. Les mesures ne
sont, quoiqu’il en soit, qu’une estimation qualitative ; ce sont donc bien des tendances qu’il convient
d’examiner ici.
10000
Sursaturation (/)
10000
10000
Sursaturation_Expérience_0-15s
Sursaturation_Expérience_15-30s
Sursaturation_Expérience_30-90s
Sursaturation_Simulation_0-15s
Sursaturation_Simulation_15-30s
Sursaturation_Simulation_30-90s
1000
1000
1000
100
0
200
400
600
800
1000
100
0
200
Profondeur (nm)
400
600
800
1000
0
200
Profondeur (nm)
400
600
800
1000
Profondeur (nm)
1000
1000
Sursaturation (/)
100
10
1
Sursaturation_Expérience_200-300s
Sursaturation_Expérience_90-200s
Sursaturation_Simulation_90-200s
100
0
200
400
600
800
1000
Profondeur (nm)
Sursaturation_Simulation_200-300s
0.1
0
200
400
600
800
1000
Profondeur (nm)
Figure II.31. Evolution de la sursaturation d’interstitiels pendant le recuit à 850°C : comparaison des calculs
expérimentaux et des simulations.
II.3.1.2.2 Implantations amorphisantes
La reproduction de telles expériences par la simulation dépend d’abord de l’exactitude des résultats après
implantation : une amorphisation plus ou moins profonde laissera un nombre d’interstitiels plus ou
moins important derrière l’interface amorphe – cristal, ce qui fausserait immanquablement l’évolution
future des défauts étendus au cours du recuit. Nous allons donc d’abord nous pencher sur cet aspect de
l’implantation avant de voir l’évolution des défauts étendus proprement dite, au cours des recuits.
II.3.1.2.2.1 Effets de l’implantation : l’amorphisation
Les premières expériences sur lesquelles nous allons nous baser n’ont pas été publiées. L’implantation est
du germanium, à 30 keV, pour une dose de 3x1014 cm-2 ou 1x1015 cm-2. Les simulations ont été effectuées
avec diverses tailles de boîtes de simulation, ce qui a un effet sur l’amorphisation du silicium. Le flux
105
Chapitre II
La simulation atomistique
moyen d’implantation n’étant pas connu, nous l’avons donc utilisé comme paramètre pour ajuster
100
80
Expérience
Simulation_60x60 nm2
Simulation_100x100 nm2
Simulation_140x140 nm2
60
40
20
1.E+12
1.E+13
1.E+14
Flux moyen d'implantation (cm-2.s-1)
Profondeur amorphe (nm)
Profondeur amorphe (nm)
l’amorphisation. Les résultats sont résumés sur la Figure II.32.
170
150
130
110
Expérience
Simulation_20x20 nm2
Simulation_60x60 nm2
Simulation_100x100 nm2
Simulation_140x140 nm2
90
70
50
30
1.E+12
1.E+13
1.E+14
Flux moyen d'implantation (cm-2.s-1)
Figure II.32. Effet du flux moyen d’implantation et de la surface simulée sur la profondeur amorphe obtenue
par simulation : ajustement de la valeur du flux moyen pour retrouver la valeur mesurée. La profondeur
amorphe expérimentale représentée correspond à celle obtenue avec un unique flux moyen, de valeur
inconnue.
De ces simulations et de leur comparaison avec la profondeur amorphe obtenue expérimentalement (45
et 55 nm pour des doses de 3x1014 cm-2 et 1x1015 cm-2, respectivement), on déduit que l’implantation de
dose 3x1014 cm-2 a été effectuée avec un flux moyen d’implantation proche de 1x1014 cm-2.s-1, et
l’implantation de dose 1x1015 cm-2 avec un flux moyen d’environ 1x1013 cm-2.s-1.
Les expériences suivantes ont été publiées dans le manuscrit de thèse de P. Calvo [Calvo04].
L’implantation amorphisante de germanium à 30 keV, 1x1015 cm-2 est suivie d’une implantation de bore à
faible énergie : 0.5 keV, et d’une dose de 1x1015 cm-2. La profondeur amorphe mesurée
expérimentalement est de 55 nm ; celle obtenue par simulation varie statistiquement entre 50 et 55 nm,
ce qui est une précision suffisante. Les implantations ont été simulées pour un flux moyen de 1x1012 cm2 -1
.s , ici encore choisi arbitrairement.
Maintenant que nous savons que la profondeur amorphe est simulée avec suffisamment de précision
pour ces cas particuliers, voyons enfin l’évolution des défauts étendus pendant le recuit.
II.3.1.2.2.2 Effets du recuit : les défauts étendus
Notons tout d’abord que l’implantation de bore a été également simulée, mais que sa diffusion n’a pas été
analysée. Un premier recuit à 650°C pendant 5 secondes permet une recristallisation de l’échantillon
avant les recuits suivants. Ceux-ci sont effectués à des températures moyennes, variant de 750°C à
950°C, et pour des temps compris entre 10 et 900 secondes.
Les résultats présentés ici sont qualitatifs, en ceci qu’il s’agit simplement de comparaisons d’images de
microscopie électronique à transmission et d’images obtenues par simulation. Mais outre l’aspect
esthétique, cela permet de voir si le type des défauts présents (petits agglomérats, défauts {311} ou
boucles de dislocation) est correctement reproduit, et donne tout de même une idée de l’évolution de
leur densité.
Les simulations du recuit à 800°C ont été effectuées pour deux valeurs de surfaces 80x80 nm2 et 120x120
nm2. Seuls les résultats des grandes surfaces sont présentés sur la Figure II.33. Aucune différence
significative n’ayant été observée, les recuits aux autres températures ont été effectuées sur les plus petites
106
Chapitre II
La simulation atomistique
surfaces, plus économes en mémoire et temps de calcul (surface plus de deux fois moindre !).
Commençons donc par regarder les résultats à 800°C. Après 10 secondes de recuit, seuls de petits
défauts {311} sont visibles en grand nombre, aussi bien expérimentalement que simulés. Quand le temps
de recuit augmente, ces défauts grandissent et leur densité diminue ; c’est la maturation d’Ostwald. On
commence à voir apparaître quelques boucles de dislocation après 120 secondes de recuit, ce que nous
n’avons pas en simulation. Encore une fois, le moment de l’apparition de la première boucle de
dislocation n’est pas déterminé, mais contient, comme tout résultat de simualtion Monte Carlo, un
certain caractère aléatoire ; d’autant plus marqué qu’à ce stade du recuit la densité de boucles de
dislocation est encore faible. Après 300 secondes de recuit, on a bien une mélange de défauts {311} et de
boucles de dislocation, et, 10 minutes plus tard, seules des boucles de dsilocation subsistent.
10 s
30 s
60 s
120 s
300 s
900 s
Figure II.33. Evolution des défauts étendus à 800°C, après 10, 30, 60, 120, 300, et 900 secondes de recuit.
Concernant le recuit à 750°C, seuls des défauts {311} subsistent après 5 minutes de recuit, de différentes
tailles ; on observe leur maturation d’Ostwald sur la Figure II.34, bien reproduite par nos simulations.
30 s
120 s
300 s
Figure II.34. Evolution des défauts étendus à 750°C, après 30, 120, et 300 secondes de recuit.
107
Chapitre II
La simulation atomistique
A 850°C, les boucles de dislocation sont déjà apparues après 30 secondes de recuit, et cohabitent avec les
derniers défauts {311} ; la situation est qualitativement semblable après 60 secondes, avec cependant une
proportion plus importante de boucles de dislocation (Figure II.35).
30 s
60 s
Figure II.35. Evolution des défauts étendus à 850°C, après 30 et 60 secondes de recuit.
Après 10 secondes à 900°C, des boucles se sont déjà formées mais de gros défauts {311} sont toujours
présents ; ceux-ci sont beaucoup plus rares après 30 secondes, et sont absents de la simulation (Figure
II.36).
30 s
10 s
Figure II.36. Evolution des défauts étendus à 900°C, après 10 et 30 secondes de recuit.
A 950°C enfin, les boucles de dislocation remplacent très vite tous les défauts {311} ; après 30 secondes,
ni les images de microscopie ni les simulations ne montrent de défauts {311} restant.
30 s
Figure II.37. Evolution des défauts étendus à 950°C, après 30 secondes de recuit.
Ainsi ces résultats montrent que le comportement des défauts étendus après une implantation
amorphisante est correctement reproduite ; le type de défauts présents en fonction du recuit et la
tendance guidant l’évolution de leur densité sont justes quelque soit le recuit effectué.
108
Chapitre II
La simulation atomistique
Pour la seconde expérience, l’implantation de germanium (dose de 3x1014 cm-2), dans un substrat de type
p (respectivement n), a été suivie d’une implantation d’arsenic, 1 keV, 2x1014 cm-2 (repectivement BF2,
1keV, 2x1014 cm-2) pour former une jonction n+p (respectivement p+n). Le profil de dopant est
entièrement contenu dans la couche amorphe. Au vu des bons résultats obtenus précédemment, nous
avons alors utilisé DADOS pour un travail de prédiction d’évolution des défauts ; désirant d’une part
faire apparaître soit exclusivement des défauts {311}, soit exclusivement des boucles de dislocation, et
jouant d’autre part avec des contraintes sur les températures de recuit.
Pour l’obtention de défauts {311}, nous nous sommes tournés vers un recuit à basse température, c'està-dire 700°C. L’évolution de la quantité d’interstitiels contenus dans les différents types de défauts
étendus au cours du recuit est représentée sur la Figure II.38, pour les deux types de jonction (n+p et
p+n). Les premières boucles de dislocation apparaissent plus tard avec une implantation de BF2 qu’avec
une implantation d’arsenic. Au-delà de l’effet statistique, il est probable qu’une partie des interstitiels
1.E+17
Petits agglomérats
Défauts {311}
Boucles de dislocation
1.E+16
1.E+15
Dose d'interstitiels (cm-2)
Dose d'interstitiels (cm-2)
soient piégés par les atomes de bore pour former des agglomérats mixtes.
1.E+14
1.E+13
1.E+12
1.E+11
1.E+10
1.E+17
Petits agglomérats
Défauts {311}
Boucles de dislocation
1.E+16
1.E+15
1.E+14
1.E+13
1.E+12
1.E+11
1.E+10
0
60
120
Temps de recuit (min)
180
0
60
120
Temps de recuit (min)
180
Figure II.38. Evolution de la quantité d’interstitiels contenus dans les défauts étendus pendant un recuit à
700°C après une implantation de germanium à 30 keV et 3x1014 cm-2, et une implantation à très faible énergie et
faible dose d’arsenic (a) ou de BF2 (b).
Afin d’effectuer le même recuit sur les deux plaques, nous avons décidé de recuire l’échantillon pendant
une heure (60 minutes). Les observations au microscope électronique à transmission, effctuées au
CEMES / LAAS de Toulouse, sont comparées aux images obtenues en simulation pour ce temps de
recuit (Figure II.39). Les densités apparentes des défauts {311} semblent plus importantes en
simulation qu’expérimentalement, ce qui confirmerait les résultats précédents ; cependant tous les
variants de {311} ne sont pas visibles sur l’image de microscopie.
109
Chapitre II
La simulation atomistique
Figure II.39. Comparaison des images au microscope et des images simulées des défauts étendus après un
recuit d’une heure à 700°C : présence de {311} uniquement.
Pour obtenir des boucles de dislocation, nous avons simulé un recuit rapide, avec différents temps de
plateau, à 900°C. Il apparaît qu’une minute de recuit suffit à transformer tous les défauts {311} (non
1.E+15
Dose d'interstitiels (cm-2)
Dose d'interstitiels (cm-2)
encore dissouts) en boucles de dislocation (Figure II.40).
1.E+14
1.E+13
Petits agglomérats
1.E+12
Défauts {311}
Boucles de dislocation
1.E+11
1.E+10
1.E+15
1.E+14
1.E+13
Petits agglomérats
1.E+12
Défauts {311}
Boucles de dislocation
1.E+11
1.E+10
0
30
60
90
Temps de recuit (s)
120
0
30
60
90
Temps de recuit (s)
120
Figure II.40. Evolution de la quantité d’interstitiels contenus dans les défauts étendus pendant un recuit à
900°C après une implantation de germanium à 30 keV et 3x1014 cm-2, et une implantation à très faible énergie et
faible dose d’arsenic (a) ou de BF2 (b).
Les images obtenues par microscopie électronique correspondent à ces résultats, seules des boucles de
dislocation restent à la fin du recuit. Encore une fois les densités de défauts obtenues par simulation sont
plus élevées qu’expérimentalement.
Figure II.41. Comparaison des images au microscope et des images simulées des défauts étendus après un
recuit d’une heure à 700°C : présence de boucles de dislocation uniquement.
La conclusion de ces études est que l’évolution des défauts étendus est très bien reproduite par nos
simulations, avec une densité de défauts cependant toujours supérieure à celle mesurée
expérimentalement. Nous allons à présent tenter d’aller plus loin, en étudiant l’effet de la présence de
bore sur la population de défauts étendus.
II.3.1.2.3 Effet de la présence de bore sur l’évolution des défauts étendus
Nous allons nous pencher sur des travaux publiés par Camillo-Castillo et ses collègues dans
[CamilloCastillo04]. La problématique concerne l’impact de la présence de bore implanté sur le
comportement des défauts étendus après une implantation amorphisante. Cette dernière est effectuée
avec du germanium à 10 keV et 1x1015 cm-2 ; le bore est implanté à faible énergie (1 keV), de telle sorte
110
Chapitre II
La simulation atomistique
que tout le profil soit contenu dans la couche amorphisée ; ainsi l’implantation de bore n’introduit pas de
défauts supplémentaires. L’ensemble est ensuite recuit à 750°C, par un recuit rapide (1 seconde) ou dans
un four (pour des durées de 5 à 240 minutes).
L’évolution des défauts étendus est ensuite étudiée par microscopie électronique à transmission. En
l’absence de bore, les défauts étendus suivent une évolution classique : les petits agglomérats, les défauts
{311} puis les boucles de dislocation subissent un phénomène de maturation d’Ostwald, dans ce cas non
conservatif du fait de la proximité de la surface (faible énergie d’implantation du germanium). Cette
évolution semble bien reproduite par nos simulations atomistiques. Elle est représentée sur la Figure
II.42. En présence de bore, les photos de microscopie montrent une réduction de la densité de défauts
étendus par rapport au cas sans bore, et ce jusqu’à ce qu’ils ne soient plus détectés par la technique de
microscopie (densité trop faible pour 60 minutes et plus). Cette diminution de la densité de défauts
étendus en présence du bore est reproduite ; mais nous gardons bien à l’esprit qu’il s’agit pour le moment
d’une étude qualitative.
Figure II.42. Evolution des défauts étendus après une implantation amorphisante, en l’absence (gauche) et
en présence (droite) de bore. Photographies du microscope électronique à transmission tirées de
[CamilloCastillo04].
Si l’on regarde l’évolution quantitative de cette densité de défauts étendus, représentée sur la Figure
II.43, on voit que sa réduction due au bore est en effet reproduite par DADOS, mais de manière moins
radicale que mesurée expériementalement.
111
La simulation atomistique
Densité de défauts (cm-2)
Chapitre II
1.E+13
Limite de détection
TEM
Limite de détection
DADOS
Expérience_Sans B
1.E+12
1.E+11
1.E+10
1.E+09
Expérience_Avec B
1.E+08
Simulation_Sans B
1.E+07
Simulation_Avec B
1.E+06
0
60
120
180
240
Temps de recuit (min)
Figure II.43. Comparaison quantitative de l’évolution des densités expérimentale et simulée de défauts étendus
au cours du recuit, sans et avec une implantation de bore dans la couche amorphe. Données expérimentales
d’après [CamilloCastillo04].
Ce qu’il faut en conclure à propos du calibrage de DADOS est que les tendances sur la description des
défauts étendus sont, dans tous les cas que nous avons vus jusqu’à présent, bien reproduites, quoique les
informations quantitatives soient parfois erronées.
Mais regardons de plus près les résultats obtenus par simulation. Après 30 minutes de recuit, la quantité
d’interstitiels présents dans les défauts étendus ({311} et boucles de dislocation) est de 3.74x1014 cm-2 en
l’absence de bore. En présence de bore, cette quantité est réduite à 2.17x1014 cm-2, valeur d’un tiers
inférieure à la précédente. Par ailleurs la quantité d’interstitiels piégés dans des agglomérats mixtes de
bore est de 1.64x1014 cm-2. Ainsi la quantité totale d’interstitiels présents est de 3.79x1014 cm-2, soit la
même que celle présente dans les défauts étendus en l’absence de bore. Cela confirme la thèse proposée
par Camillo-Castillo et ses collègues dans cette publication, c'est-à-dire que les interstitiels absents des
défauts étendus et donc non-visibles par microscopie électronique sont en réalité piégés par le bore. La
quantité d’interstitiels présents dans le silicium reste la même, mais sa répartition est differente puisque
les complexes de bore interviennent.
Les profils de concentration des interstitiels présents dans les défauts étendus sont tracés sur la Figure
II.44. On peut y remarquer que les défauts étendus qui souffrent le plus de la présence du bore sont les
défauts les moins stables ({311} par rapport aux boucles de dislocation), mais surtout ceux qui se
trouvent le plus près de la surface de l’échantillon – où se trouve la zone riche en bore. Il existe donc une
corrélation spatiale entre les défauts étendus « disparus » et la formation des agglomérats mixtes de bore.
Cette corrélation, qui ne peut être détectée par des images de microscopie en vue plane, conforte la thèse
déjà évoquée quant à l’origine de la diminution du nombre de défauts étendus en présence de bore.
112
Chapitre II
La simulation atomistique
1.E+21
Concentration (cm-3)
{311}_Sans B
1.E+20
Boucles_Sans B
1.E+19
{311} Avec B
1.E+18
Boucles_Avec B
1.E+17
Complexes de
B_Avec B
1.E+16
0
50
100
Profondeur (nm)
Figure II.44. Interstitiels contenus dans les défauts étendus et – le cas échéant – les agglomérats de bore :
comparaison des profils de concentration sans ou en présence de bore implanté.
Nous voyons ainsi que DADOS permet de comprendre les phénomènes en jeu, grâce à une
implémentation de modèles physiques, et ce même si ils ne sont pas parfaitement calibrés. Les tendances
données par les simulations effectuées avec DADOS sont bonnes, et cela en fait un outil très puissant
pour la compréhension.
II.3.1.2.4 Effet de la température d’un recuit rapide de type spike
Expérimentalement on observe que la diminution de la température du recuit d’activation spike a
tendance à augmenter les fuites de jonction. Ces fuites sont mesurées sur des jonctions avec des lignes de
polysilicium gravées à la longueur de grille, si bien que la jonction étudiée est la même que sur les
transistors. Ces fuites sont a priori dues à la dissolution plus ou moins efficace des défauts d’implantation.
La Figure II.45 représente ces fuites à la tension de fonctionnement (non communiquée), sur un
pMOSFET. Les conditions expérimentales sont les suivantes : préamorphisation au germanium (25 keV,
4x1015 cm-2), implantations des extensions en bore (0.5 keV, 8x1014 cm-2) et poches en arsenic (50 keV,
Fuite de la jonction (A.µm-1)
3.7x1013 cm-2 et inclinaison 25°), le tout suivi d’un recuit rapide de type spike.
1.E-08
1.E-09
1.E-10
1.E-11
1080°C
1055°C
1030°C
Température de recuit
Figure II.45. Evolution des fuites de jonction avec la température d’un recuit rapide de type spike.
Nous n’avons pas effectué de simulations de cet échantillon au cours de cette thèse. Cependant, l’effet de
la température du même recuit a été examiné brièvement sur d’autres cas : pas de préamorphisation, mais
une implantation amorphisante des extensions en arsenic (1 keV, 5x1014 cm-2) accompagnée d’une
implantation des poches en BF2 (30 keV, 4x1013 cm-2, inclinaison 25° et rotation 23°). La Figure II.46
montre que plus la température est abaissée, plus il reste de défauts étendus à la fin du procédé de
fabrication. Du fait de la température relativement élevée du recuit, il s’agit toujours de boucles de
dislocation. Si les cas expérimental et simulé ne peuvent être directement comparés, il convient tout de
113
Chapitre II
La simulation atomistique
même de noter que les tendances dégagées sont les mêmes : la réduction de la température de recuit ne
permet pas de dissoudre tous les défauts étendus générés par l’implanttaion ionique.
1080°C
1055°C
1030°C
Figure II.46. Résultats de simulation montrant une dissolution moins efficace des défauts étendus avec une
température de recuit spike plus basse.
II.3.1.2.5 Conclusion sur la simulation des défauts étendus
Ces différentes petites études nous ont montré que concernant la simulation des défauts étendus,
DADOS donnait toujours des tendances conformes aux expériences. Même si les informations
quantitatives sont moins fiables, on pourra se reposer sur nos simulations pour étudier les défauts dans le
silicium au cours des procédés de fabrication, surtout pour effectuer des comparaisons entre différentes
conditions.
II.3.2 Etudes réalisées avec DADOS
Les deux études présentées dans ce paragraphe ont été réalisées, bien entendu, à l’aide de simulations
atomistiques de procédés effectuées avec DADOS. Leur originalité réside principalement dans la façon
d’utiliser ces simulations : les principaux efforts ont porté sur la compréhension des phénomènes simulés
et observés expérimentalement. DADOS est en effet un outil qui peut être dans ce but d’un appui solide
à son utilisateur ; d’abord de par l’implémentation, proche de la réalité physique, des mécanismes
élémentaires de la diffusion des défauts (au sens large) du silicium ; mais aussi grâce à cet atout majeur de
la simulation sur les expériences, celui de donner accès à toutes les informations souhaitées sur
l’évolution des grandeurs simulées, et ce à tout moment du procédé de fabrication. Ce sont dans
DADOS principalement des données atomistiques, à savoir l’évolution de la nature, de la quantité, de la
localisation des défauts, mais aussi de la diffusion et de l’activation des impuretés – dopantes ou non.
II.3.2.1 Compréhension physique des effets du flux moyen d’implantation sur
l’activation du bore dans les source et drain en bore des pMOSFET
II.3.2.1.1 Introduction
114
Chapitre II
La simulation atomistique
Cette étude a été l’objet d’une présentation à la conférence ESSDERC (European Solid-State Device
Research Conference) en septembre 2007, et un article a également été publié en marge de cette conférence
[Singer07].
C’est certes tout l’objet de cette thèse, mais rappelons que la génération et l’accumulation de défauts
d’implantation dans le silicium joue un rôle crucial dans les caractéristiques futures des dispositifs, aussi
bien de par leur rôle sur la diffusion et l’activation des dopants au cours des étapes successives de
procédé, que par leur influence sur les fuites de la jonction finale. En plus d’un contrôle précis de la dose
et de l’énergie d’implantation (génération des défauts), il est devenu important de considérer le flux
moyen d’implantation, à cause de son impact sur l’accumulation de défauts [Cagnat06, Pelaz99a]. En
particulier, passer de l’utilisation d’un implanteur multiplaques avec un faisceau circulaire à un implanteur
monoplaque avec un faisceau en ruban peut conduire à des différences sur le comportement du
dispositif, parce que les flux moyens d’implantation sont a priori différents. Il est donc primordial de
comprendre les effets de celui-ci pour comprendre les causes de ces différences.
II.3.2.1.2 Expériences
Les deux types d’implanteur comparés ici sont ceux décrits dans le paragraphe II.3.2.2. Des jonctions ont
été fabriquées sur dispositifs CMOS, et également en pleine plaque (sans motif) pour analyses SIMS (voir
annexe B.1). Une implantation de type source/drain (BF2, 15 keV, 3.6x1015 cm-2) a été effectuée avec un
type d’implanteur ou l’autre, suivie d’une implantation de bore (13 keV, 6x1013 cm-2) pour lisser la queue
du profil de bore, puis d’un recuit rapide de type spike à 1113°C. D’autres détails expérimentaux
pourront être trouvés dans [Cagnat06].
Premièrement, il a été observé que changer de l’implanteur multiplaques pour l’implanteur monoplaque
entraînait une diminution de la résistance par carré de la couche active de 6%. Cette variation est bien
supérieure aux incertitudes expérimentales. Comme mentionné dans [Kaeppelin06], ce changement de
la résistance de couche ne pût s’expliquer par un décalage éventuel de la dose entre les deux implanteurs,
puisque les profils implantés étaient parfaitement superposés.
II.3.2.1.3 Résultats et discussion
II.3.2.1.3.1 Evolution de l’agglomération du bore à la distance parcourue projetée
Nous avons effectué des simulations pour cette étude dans le but de comprendre les différences
observées expérimentalement. L’idée est de regarder l’évolution des agglomérats mixtes de bore.
Afin de faciliter l’interprétation des simulations, et de favoriser la compréhension physique plutôt que la
reproduction d’expériences, nous avons d’abord utilisé pour nos simulations les structures très simplifiées
de la Figure II.47, avec des profils en créneau de bore et d’interstitiels.
115
Chapitre II
La simulation atomistique
5 nm
Concentration
10 nm
Bore
Interstitiels
Couche
amorphe
depth
Rp
interface amorphe / cristal à 30 nm
Figure II.47. Description des structures simplifiées utilisées pour nos simulations.
Il est néanmoins essentiel de respecter les détails suivants afin d’interpréter correctement les résultats,
puis de relier ces simulations aux expériences.
Choisi d’après le profil implantaté, le profil de bore s’étend sur 10 nm de profondeur, avec une
concentration similaire à la concentration maximale du profil implanté, et centré autour de la distance
parcourue projetée. Comme l’implantation de BF2 est amorphisante, nous avons également placé une
couche amorphe dans notre structure ; en effet durant la recristallisation les atomes de bore se partagent
entre atomes actifs (potentiellement mobiles par le mécanisme d’expulsion : voir paragraphe I.2.2.2) et
agglomérés (immobiles). De plus nous ne pouvons pas négliger les effets de l’interface amorphe / cristal
sur le piégeage des interstitiels avant recristallisation parfaite. Un profil également carré d’interstitiels a été
placé dans la région de fin de parcours, juste derrière l’interface amorphe / cristal. Nous avons fait varier
la concentration en interstitiels entre 1x1020 et 5x1021 cm-3. Comme la recristallisation laisse un silicium
sans défauts après recuit, nous n’incluons pas d’interstitiels dans la zone amorphe. Le profil d’interstitiels
s’étend sur 5 nm, valeur proche de celle observée sur le pic d’interstitiels après implantation. Le profil de
température du recuit est également respecté, particulièrement à haute température.
Initialement la formation des boucles de dislocation est désactivée de nos modèles de simulation, afin de
dissocier les différents effets et de tirer de justes conclusions.
Le recuit de cette structure simplifiée conduit à la conclusion suivante : une augmentation de la quantité
d’interstitiels au-delà de la couche initialement amorphe favorise la dissolution des agglomérats mixtes de
bore à la distance parcourue projetée. Dans ces simulations, comme les boucles de dislocation ne se
forment pas, les atomes de bore qui s’échappent des agglomérats diffusent à travers le substrat. C’est
pourquoi la queue de diffusion du bore est plus étendue quand les agglomérats se dissolvent. L’évolution
des profils de bore avec la quantité d’interstitiels introduits est visible sur la Figure II.48.
116
Chapitre II
La simulation atomistique
Concentartion (cm-3)
1E+21
dissolution des
agglomérats
[I] = 1E20 I/cm3
[I] = 1E21 I/cm3
[I] = 5E21 I/cm3
1E+20
1E+19
Diffusion du bore
1E+18
0
20
40
60
80
100
120
140
Profondeur (nm)
Figure II.48. Evolution des profils carrés de bore après recuit avec différentes quantités d’interstitiels introduits
dans la région de fin de parcours.
Les mécanismes de dissolution des agglomérats de bore sont les suivants. Après recristallisation la
plupart des agglomérats de bore sont de composition soit B3I soit B2. En présence de ces deux types
d’agglomérats, trois réactions dominent :
B 3 I → B 2 + BI ;
Eq. II.42
B 2 + BI → B 3 I ;
Eq. II.43
et B 2 + I → B 2 I → Bs +BI ,
Eq. II.44
où BI est la paire bore – interstitiel et Bs est le bore en position substitutionnelle (actif).
L’agglomérat B3I peut émettre un atome mobile de bore apparié avec un interstitiel, selon la réaction
décrite par Eq. II.42. Donc dès le début du recuit, les agglomérats B2 proviennent à la fois de la
recristallisation et / ou de la dissolution partielle des B3I. Ce que captureront les B2 va déterminer
l’évolution future des agglomérats de bore. Si un B2 capture une paire BI (Eq. II.43) pour former à
nouveau B3I, le système évolue vers une certaine stabilisation des agglomérats. Si un B2 capture un
interstitiel (Eq. II.44) un B2I se forme, qui est peu stable. B2I va se dissoudre très rapidement, en
émettant lui-même une paire BI, et en laissant dans le réseau un atome de bore substitutionnel et actif Bs.
Or quand la concentration d’interstitiels dans la zone de fin de parcours est assez élevée, la capture d’un
interstitiel par B2 devient hautement probable, plus probable que la capture d’une paire BI. La réaction
Eq. II.44 devient prépondérante devant Eq. II.43. C’est la raison pour laquelle la dissolution des
agglomérats est favorisée quand la concentration d’interstitiels augmente.
Cependant la manière utilisée ici pour augmenter la quantité d’interstitiels est quelque peu artificielle ; à la
place, on peut faire varier cette quantité en modifiant la profondeur amorphe. En effet, d’une part, tous
les défauts (paires de Frenkel et interstitiels en excès) qui se trouvent dans la région amorphisée seront
recombinés, soit au cours de la réparation du réseau ou à l’interface avec l’oxyde de surface ; d’autre part,
le profil d’interstitiels résultant du modèle « +1 » – donc qui ne subissent pas de recombinaison directe
avec les lacunes (voir paragraphe I.1.2.3.3et [Giles91, Pelaz01]) est invariable quand l’énergie, la dose et
les angles d’implantation sont fixés. Donc si la profondeur amorphe varie alors que ces paramètres
restent constants, la quantité d’interstitiels en excès dans la région de fin de parcours sera changée,
comme illustré par la Figure II.49.
117
La simulation atomistique
Concentration d’interstitiels
Chapitre II
Différence de quantités
d’interstitiels en excès avec
différentes profondeurs amorphes
Couche
amorphe
profondeur
interface a/c
Figure II.49. Illustration schématique de la variation de la quantité d’interstitiels en excès par une variation de
la profondeur amorphe. Les interstitiels en excès de la couche amorphe « disparaissent » au cours de la
recristallisation.
Avec les simulations suivantes, nous allons essayer d’examiner l’agglomération du bore à la distance
parcourue projetée en utilisant des profils implantés (simulés) de bore, et en faisant varier la profondeur
amorphe. Nous utiliserons également des profils d’interstitiels et de lacunes obtenus par des simulations
Monte Carlo d’implantation, qui donnent un profil net d’interstitiels correct ([I]-[V]). La nouvelle
concentration
structure, plus proche des expériences, est présentée sur la Figure II.50.
Bore
Interstitiels
Lacunes
profondeur
interface a/c
Figure II.50. Description de la seconde structure utilisée pour nos simulations, cette fois plus proche des
conditions expérimentales.
Comme nous nous y attendions, ces simulations montrent, après le recuit spike, une perte de la quantité
de bore aggloméré de 15% avec une diminution de l’épaisseur de couche amorphe de 2.5 nm. Le bore
s’agglomère principalement à la distance parcourue projetée car c’est dans cette région que sa
concentration est la plus forte [Stolk97]. Les profils de bore aggloméré sont montrés sur la Figure II.51.
118
Chapitre II
La simulation atomistique
Concentartion (cm-3)
1.E+21
1.E+20
1.E+19
High
Forte amorphization
amorphisation
Faibleamorphization
amorphisation
Low
1.E+18
0
10
20
Profondeur (nm)
30
Figure II.51. Profils de bore aggloméré après recuit pour deux profondeurs amorphes différentes.
Cette simulation montre qu’une petite variation de la profondeur amorphe pouvait conduire à une
différence significative de l’agglomération du bore à la distance parcourue projetée.
Mais comment justifier un changement de profondeur amorphe entre deux implantations à dose, énergie
et angles constants ? L’amorphisation du réseau est due à l’accumulation d’interstitiels et de lacunes
durant l’implantation [Pelaz01]. Si l’on donne en moyenne plus (ou, respectivement, moins) de temps
entre chaque collision d’un ion avec le cristal, on augmente (ou, respectivement, diminue) l’efficacité de la
recombinaison des paires interstitiel – lacune pendant l’implantation. Une solution est alors de changer le
flux moyen d’implantation FImoy. Dans cette étude en particulier, ce paramètre sera calculé ainsi :
FI moy =
Dose
,
t impl ⋅ Nb plaques
Eq. II.45
où timpl est le temps total d’implantation, et Nbplaques est le nombre de plaques procédées dans le même
temps – 13 pour l’implanteur multiplaques, et 1 pour l’implanteur monoplaque.
L’augmentation du flux moyen d’implantation est un moyen pour diminuer le temps entre deux
collisions, permettant une plus forte accumulation de défauts. Un flux moyen d’implantation plus élevé
devrait donc conduire à une profondeur amorphe plus importante pour des implantations équivalentes.
Cela a effectivement été observé expérimetalement par Nathalie Drogue (Cagnat), à Crolles ; ce qui a fait
Profondeur amorphe (nm)
l’objet d’une publication [Cagnat06] (voir Figure II.52).
33
32
31
30
1.E+11
1.E+12
1.E+13
1.E+14
-2 -1
Flux d’implantation (cm .s )
Figure II.52. Profondeur amorphe en foncton du flux moyen d’implantation. Données expérimentales de
[Cagnat06].
119
Chapitre II
La simulation atomistique
Bien que la mesure directe de la quantité d’interstitiels après implantation soit très délicate, elle est
directement liée au nombre et à la taille des boucles de dislocations présentes après un recuit
judicieusement choisi. C’est ainsi qu’il a été observé et noté dans cette publication que la quantité
d’interstitiels en excès présente dans la région de fin de parcours diminuait quand la profondeur amorphe
Nombre de boucles
de dsilocations
augmentait (avec le flux moyen d’implantation), comme on l’attendait (Figure II.53).
30
20
10
0
30
31
32
Profondeur amorphe (nm)
33
Figure II.53. Nombre de boucles de dislocations observé (représentatif de la quantité d’interstitiels) en fonction
de la profondeur amorphe. Données expérimentales publiées dans [Cagnat06].
II.3.2.1.3.2 Accumulation et désactivation du bore dans la zone de fin de parcours
Des boucles de dislocation plus nombreuses et plus grosses se forment quand le flux moyen
d’implantation décroît. Or les boucles de dislocation sont connues pour piéger le bore – qui s’accumule
donc à leur alentour – et le désactiver [Bonafos97]. Dans notre cas, les boucles piègent à la fois du bore
(i) « échappé » lors de la dissolution des agglomérats à la distance parcourue projetée et (ii) une partie du
bore actif se trouvant à leur proximité. Cela explique les analyses SIMS de la Figure II.54, analyses des
1.E+21
Concentration (cm-3)
Concentration (cm-3)
implantations décrites dans le paragraphe précédent recuites avec le même spike que les dispositifs.
Fort flux moyen
Faible flux moyen
1.E+20
Région de fin
de parcours
1.E+19
Distance
parcourue projetée
1.E+18
0
20
40
60
80
100
Profondeur (nm)
120
140
5.E+20
Fort flux moyen
Faible flux moyen
4.E+20
3.E+20
2.E+20
Distance
parcourue projetée
1.E+20
15
20
25
Région de fin
de parcours
30
35
40
Profondeur (nm)
Figure II.54. (a) Profils expérimentaux (SIMS) du bore après le recuit spike pour deux différents flux moyens
d’implantation, d’après [Cagnat06]. (b) Grossissement sur les deux bosses.
- (i) Suivant notre précédent raisonnement, nous nous attendons à ce qu’un flux moyen d’implantation
fort favorise l’accumulation du bore à la distance parcourue projetée, parce que la quantité
d’interstitiels présents dans la région de fin de parcours est moins importante. Par ailleurs un faible flux
moyen d’implantation devrait favoriser une accumulation du bore dans la région de fin de parcours, au
détriment de l’accumulation à la distance parcourue projetée. En effet, après le recuit le bore montre
une claire tendance à s’accumuler soit à la distance parcourue projetée avec un fort flux moyen
120
Chapitre II
La simulation atomistique
d’implantation (amorphisation plus profonde), soit dans la région de fin de parcours dans le cas d’un
flux moyen d’implantation faible (amorphisation moins profonde).
On peut observer sur la Figure II.55 que la somme des doses présentes dans les deux bosses est
sensiblement constante par rapport à la profondeur amorphe. C’est la preuve expérimentale que les
atomes de bore émis par la dissolution des agglomérats de la distance parcourue projetée sont capturés
Dose dans les bosses (cm-2)
par les boucles de dislocations – lorsqu’il y en a.
1.E+15
Dose à la distance parcourue projetée
Dose dans la région de fin de parcours
Somme des doses dans les deux bosses
8.E+14
6.E+14
4.E+14
2.E+14
0.E+00
30
31
32
Profondeur amorphe (nm)
33
Figure II.55. Doses de bore dans chaque bosse et leur somme en fonction de la profondeur amorphe
- (ii) De plus les boucles de dislocation dégradent l’activation du « nuage » d’atomes de bore effectuant
des migrations autour d’elles. Cela est visible par une comparaison détaillée des profils SIMS dans cette
région. Comme nous l’avons montré plus haut, quand la couche amorphe est plus fine, il y a moins
d’agglomération du bore à la distance parcourue projetée ; mais tous les atomes de bore relâchés par
les agglomérats ne sont pas actifs. Au contraire, les boucles de dislocation situées dans la zone de fin
de parcours capturent – et désactivent – certes, ces atomes libérés des agglomérats de la distance
parcourue projetée, mais encore les atomes de bore diffusant à travers cette barrière de défauts
étendus. Les atomes étaient dans ce dernier cas actifs, donc potentiellement mobiles par le mécanisme
d’expulsion (voir paragraphe I.2.2.2 et [Cowern99c]) ; au cours de leurs étapes de migration ils ont été
capturés par les boucles de dislocation. Ceci est visible sur les profils SIMS par une légère désertion
dans la région entourant les défauts de fin de parcours, ce qui est mis en évidence par la Figure II.56.
Si l’on extrapole cette partie du profil pour obtenir le profil de bore actif, la variation de la résistance
par carré entre les deux cas est de 4%, variation qui peut être comparée à celle de 6% mesurée
directement sur les dispositifs.
121
Chapitre II
La simulation atomistique
Concentration (cm-3)
20.4
Fort flux moyen
Faible flux moyen
20.2
20
19.8
35
40
45
50
55
60
65
70
75
Profondeur (nm)
Figure II.56. Zoom des profils SIMS de la Figure II.54 sur la région autour des défauts de fin de parcours.
C’est la raison pour laquelle la résistance par carré de la couche active augmente quand il reste plus
d’interstitiels après recristallisation dans la région de fin de parcours, même si les agglomérats de bore
situés dans la zone de la distance parcourue projetée se dissolvent plus vite. Un flux moyen
d’implantation plus faible, qui amorphise moins et laisse plus de défauts étendus stables dans la zone de
fin de parcours, conduit à une résistance par carré plus élevée.
II.3.2.1.4 Résumé
Dans cette étude nous avons examiné les mécanismes atomistiques responsables de l’effet du type
d’implanteur sur les caractéristiques du dispositif. Il a été prouvé que le flux moyen d’implantation était
responsable de potentielles disparités entre les dispositifs, observées entre les deux implanteurs. Un flux
moyen d’implantation plus faible conduit à une profondeur amoprhe moins importante, qui a son tour
laisse moins d’interstitiels en excès derrière l’interface amorphe / cristal. Les simulations ont été utilisées
pour montrer que ces interstitiels étaient directement responsables de la plus rapide dissolution des
agglomérats de bore à la distance parcourue projetée. En outre ils forment plus de boucles de
dislocations plus stables (car plus grosses), qui sont capables de capturer non seulement les atomes de
bore venant de la dissolution des agglomérats précédemment cités, mais aussi le bore actif proche de la
région de fin de parcours. Le flux moyen d’implantation variant entre l’implanteur multiplaques et
l’implanteur monoplaque de 2x1011 à 6x1013 cm-2.s-1, on explique alors l’abaissement de la résistance par
carré observée sur les MOSFETs par l’augmentation du flux moyen d’implantation subie lorsque l’on
passe du premier équipement au second.
II.3.2.2 De l’effet des paramètres de l’outil d’implantation ionique sur
l’endommagement du silicium : le rôle du flux instantané d’implantation
II.3.2.2.1 Introduction à l’étude
L’étude qui va être présentée dans ce paragraphe a fait l’objet d’une présentation orale lors de la 17ème
conférence internationale sur l’implantation ionique (IIT 2008), avec en parallèle un article publié dans les
122
Chapitre II
La simulation atomistique
actes de cette conférence [Singer08a]. Elle illustre très bien l’intérêt, voire la nécessité, de considérer en
simulation l’implantation ionique comme une série d’impulsions – quand c’est le cas expérimentalement.
Nous avons vu que l’espèce implantée, la dose, l’énergie, et les angles d’inclinaison et de rotation, ainsi
que la température de la plaque et le flux moyen d’implantation, influençaient la génération et
l’accumulation de défauts dans le silicium au cours de l’implantation ionique. Dans cette étude, les effets
d’autres paramètres spécifiques aux machines d’implantation sont aussi examinés. Pour l’implanteur
multiplaque, les vitesses de balayage et de rotation de la roue, et le diamètre du faisceau – contrôlé par sa
focalisation –seront analysés. Pour l’implanteur monoplaque, nous étudierons les effets de la vitesse de
balayage, et de la largeur du faisceau – résultat, encore une fois, de la focalisation du faisceau. Les valeurs
des paramètres de l’implanteur utilisées comme références, ainsi que les bornes entre lesquelles elles
peuvent varier, sont rassemblées dans le Tableau II.2 pour l’implanteur multiplaques, et dans le
Tableau II.3 pour l’implanteur monoplaque. Les fourchettes de variations possibles sont nées de
discussions avec les équipes en charge de l’implantation à Crolles, ainsi que de diverses réflexions… qui
sont résumées dans les annexes.
Tableau II.2. Valeurs de référence et variations utilisées pour les paramètres de l’implanteur multiplaques.
Fourchette de valeurs plausibles
Abréviation
Description
Valeur de référence
Droue(Multi)
Diamètre de la roue
130 cm
Vrotation(Multi)
Vitesse de rotation
15 tours.s-1
Dbalayage(Multi)
Amplitude de balayage
35 cm
Ifaisceau(Multi)
Courant du faisceau ionique
5 mA
Dfaisceau(Multi)
Diamètre du faisceau
5 cm
1 – 15 cm
Vbalayage(Multi)
Vitesse de balayage
5 cm.s-1
1 – 30 cm.s-1
pour les paramètres étudiés
1 – 35 tours.s-1
Tableau II.3. Valeurs de référence et variations utilisées pour les paramètres de l’implanteur monoplaque.
Fourchette de valeurs plausibles
Abréviation
Description
Valeur de référence
Dbalayage(Mono)
Amplitude de balayage
35 cm
Ifaisceau(Mono)
Courant de faisceau
5 mA
Lfaisceau(Mono)
Longueur de faisceau
30 cm
lfaisceau(Mono)
Largeur du faisceau
3 cm
1 – 10 cm
Vbalayage(Mono)
Vitesse de balayage
20 cm.s-1
10 – 40 cm.s-1
pour les paramètres étudiés
Les conditions d’implantation sont choisies arbitrairement, proches de la limite d’amorphisation pour ces
valeurs de référence. Aussi les valeurs des paramètres pour lesquelles l’endommagement varie fortement
ne pourront être généralisées. Pour chaque condition d’implantation, une étude spécifique est nécessaire
si l’on souhaite connaître l’influence de ces paramètres. En revanche, les conditions d’implantation
choisies ici permettront de mettre en évidence les effets des paramètres étudiés sur l’accumulation de
défauts. On quantifiera alors l’endommagement du substrat par le volume de silicium amorphisé ;
123
Chapitre II
La simulation atomistique
volume dont la valeur est normalisée par rapport à celle obtenue avec les valeurs de référence des
paramètres variables. Pour entrer dans le détail, ce volume est déterminé par la quantité d’interstitiels
présent dans le matériau amorphe dans DADOS. En effet, le matériau amorphe est représenté dans
DADOS comme contenant une concentration constante et élevée d’interstitiels. Ces interstitiels sont une
manière artificielle de visualiser, positionner, et quantifier le matériau « silicium amorphe » dans la
simulation. Ils sont étiquetés comme étant attachés au matériau amorphe ; on ne peut ainsi pas les
confondre avec de « véritables » interstitiels.
Enfin, le courant du faisceau n’est pas modifié afin que le flux moyen d’implantation reste constant.
II.3.2.2.2 Résultats
Les résultats sont présentés par des courbes représentant le volume de silicium amorphe (échelle
normalisée) en fonction du paramètre étudié. Commençons par regarder le cas de l’implanteur
multiplaques.
Pour la vitesse de balayage, on n’observe pas de transition nette entre des valeurs pour lesquelles une
amoprhisation a lieu ou pour lesquelles le substrat reste entièrement cristallin (Figure II.57 (a)). Dans
les conditions critiques d’amorphisation dans lesquelles nous nous sommes placés, cela signifie que la
vitesse de balayage a un impact non significatif sur l’accumulation de défauts lors de l’implantation.
Bien que la vitesse de rotation de la roue est en général fixée pour chaque implanteur, nous nous sommes
demandé quels seraient les effets de sa variation. Cependant, aucune variation significative de
1.4
1.2
1.0
0.8
0.6
0.4
0.2
0.0
(a)
0
10
20
30
Vitesse de balayage (cm.s-1)
Volume amorphe (u.a.)
Volume amorphe (u.a.)
l’amorphisation n’est observée dans la fourchette de valeurs examinée (Figure II.57 (b)).
1.4
1.2
1.0
0.8
0.6
0.4
0.2
0.0
(b)
0
10
20
30
Vitesse de rotation (tours.s-1)
Figure II.57. (a) Effet de la vitesse de balayage de la roue de l’implanteur multiplaques sur l’accumulation de
défauts au cours de l’implantation. (b) Effet de la vitesse de rotation de la roue sur l’accumulation de défauts
au cours de l’implantation.
Enfin une variation du diamètre du faisceau ionique peut conduire à un changement radical de
l’endommagement du silicium durant une implantation (Figure II.58).
124
La simulation atomistique
Volume amorphe (u.a.)
Chapitre II
1.4
1.2
1.0
0.8
0.6
0.4
0.2
0.0
0
5
10
Diamètre du faisceau (cm)
15
Figure II.58. Effet du diamètre du faisceau de l’implanteur multiplaques sur l’accumulation de défauts au cours
de l’implantation.
Concernant l’implanteur monoplaque, la Figure II.59 (a) montre que la vitesse de balayage n’a aucune
incidence sur l’amorphisation du silicium. En revanche, l’effet de la focalisation du faisceau sur
l’accumulation de défauts est quelque peu remarquable (Figure II.59 (b)). Il existe une valeur de
transition très bien marquée – dépendante des autres paramètres et bien entendu des conditions
1.4
1.2
1.0
0.8
0.6
0.4
0.2
0.0
Volume amorphe (u.a.)
Volume amorphe (u.a.)
d’implantation – au-delà de laquelle le volume de silicium amorphisé décroît soudainement.
(a)
10
20
30
Vitesse de balayage (cm.s-1)
40
1.4
1.2
1.0
0.8
0.6
0.4
0.2
0.0
(b)
0
2
4
6
8
Largeur du faisceau (cm)
10
Figure II.59. (a) Effet de la vitesse de balayage de l’implanteur monoplaque sur l’accumulation de défauts au
cours de l’implantation. (b) Effet de la largeur du faisceau de l’implanteur monoplaque sur l’accumulation de
défauts.
Nous avons donc mis en évidence que la focalisation du faisceau était un paramètre critique quant à
l’accumulation de défauts, et ce quelque soit l’outil d’implantation considéré. La vitesse de balayage et,
pour l’implanteur multiplaques, la vitesse de rotation de la roue, n’ont en revanche pas d’influence. Nous
allons à présent tenter de donner l’explication de ces comportements.
II.3.2.2.3 Interprétation : en cause, le flux instantané d’implantation
Nous avons précédemment introduit le flux instantané d’implantation. Nous avions alors suggéré, et
c’est ainsi que nous allons procéder dans cette étude, que ce flux instantané pouvait se calculer par :
FI inst =
Dose impulsion
t impulsion
,
Eq. II.46
où Doseimpulsion et timpulsion sont respectivement la dose implantée au cours d’une impulsion et la durée
d’une impulsion.
125
Chapitre II
La simulation atomistique
Si l’on regarde l’évolution du flux instantané d’implantation avec les paramètres de l’implanteur, il
apparaît dans le cas de l’implanteur multiplaques que seul le diamètre du faisceau peut le modifier
Flux instantané (cm-2.s-1)
(Figure II.60). Les vitesses de balayage et de rotation de la roue ne l’influencent pas (Figure II.61).
4.E+16
3.E+16
2.E+16
1.E+16
0.E+00
0
5
10
Diamètre du faisceau (cm)
15
Figure II.60. Evolution du flux d’implantation instantané avec le diamètre du faisceau de l’implanteur
multiplaques.
Flux instantané
(cm-2.s-1)
2.E+15
a
b
1.E+15
0
10
20
30
Vitesse de balayage
(cm.s-1)
0
10 20 30
Vitesse de rotation
(tours.s-1)
Figure II.61. Evolution du flux d’implantation instantané avec le la vitesse de balayage (a) et la vitesse de
rotation de la roue (b) de l’implanteur multiplaques.
Pour l’implanteur monoplaque, le constat est le même. Le flux instantané d’implantation dépend
seulement de la largeur du faisceau, et pas de la vitesse de balayage (Figure II.62).
126
Chapitre II
La simulation atomistique
a
Flux instantané
(cm-2.s-1)
1.E+15
0.E+00
Flux instantané
(cm-2.s-1)
2.5E+14
b
1.5E+14
0
5
10
Largeur du faisceau (cm)
10
20
30
40
Vitesse de balayage (cm.s-1)
Figure II.62. Effet de la largeur du faisceau (a) et de la vitesse de balayage (b) de l’implanteur monoplaque
sur le flux instantané d’implantation.
Et en effet, pour les deux types d’implanteur, le tracé du volume de silicium amorphisé en fonction du
flux instantané d’implantation (Figure II.63) montre un effet de seuil, similaire à celui observé avec le
flux moyen d’implantation ou la température pendant des implantations uniformes dans le temps
Volume amorphe (u.a.)
[Williams90, Schultz91].
1.4
1.2
1.0
0.8
0.6
0.4
0.2
0.0
a
14
15
16
Log(Flux instantané)
(log[cm-2.s-1])
1.4
1.2
1.0
0.8
0.6
0.4
0.2
0.0
b
14
14.5
15
Log(Flux instantané)
(log[cm-2.s-1])
Figure II.63. Endommagement du silicium en fonction du flux instantané d’implantation pour les outils
multiplaques (a) et monoplaque (b).
Ce résultat, du reste très intéressant en soi, n’est toutefois pas entièrement satisfaisant, car il ne fait que
déplacer le problème. Nous allons donc continuer notre investigation, et essayer de comprendre
pourquoi l’effet du flux instantané est si important.
De fait, la raison est que le flux instantané d’implantation a un impact sur la taille des poches amorphes
après une impulsion. Afin de faciliter les analyses de nos simulations, nous allons désormais rester avec
une concentration de défauts en-dessous du seuil d’amorphisation, et regarder la quantité d’interstitiels
présents dans les poches amorphes. Les plus grosses poches amorphes, c'est-à-dire contenant plus de 40
interstitiels et lacunes, se forment durant l’implantation par superposition de plusieurs cascades. La
Figure II.64 est un histogramme de composition des poches amorphes. Chaque point représente un
type de poche amorphe, contenant X (abscisses) interstitiels et Y (ordonnées) lacunes. La couleur du
point est déterminée par la concentration de défauts (interstitiels + lacunes) associée à chaque type de
poche amorphe. La Figure II.64 montre que l’implantation de 10 cascades de germanium (10 keV) dans
une surface (carrée) de 102 nm2 ne permet la formation que de petites poches amorphes, tandis que
l’implantation de 50 cascades mène à de grosses poches amorphes.
127
La simulation atomistique
a
100
10 cascades
75
50
25
0
0
25
50
75
100
nombre d'interstitiels dans
les poches amorphes
nombre de lacunes dans
les poches amorphes
nombre de lacunes dans
les poches amorphes
Chapitre II
b
100
50 color
cascades
= [I+V]
75
concentration
≥ 1019 cm-3
50
25
0 cm-3
0
0
25
50
75
100
nombre d'interstitiels dans
les poches amorphes
Figure II.64. Histogramme de composition des poches amorphes pour l’implantation de 10 cascades (a) ou
de 50 cascades (b) de germanium. Les grosses poches amorphes ne sont formées que par la superposition des
cascades.
Pour ces cas particuliers, toutes les cascades ont été implantées en même temps. Nous avons déjà affirmé
que les plus grosses poches amorphes étaient plus stables que les petites, c'est-à-dire que leur
recombinaisons internes (entre interstitiels et lacunes) étaient moins fréquentes (paragraphe II.2.2.1). La
Figure II.65 confirme que ce phénomène est bien pris en compte dans nos simulations. Nous avons
laissé évoluer les défauts ainsi générés pendant une seconde à température ambiante. La quantité de
défauts a été évaluée à partir de la dose totale d’interstitiels présents dans les poches amorphes,
normalisée par rapport à la situation intiale (c'est-à-dire juste après l’implantation de toutes les cascades
en même temps). Cette figure montre qu’après une seconde à température ambiante, 90% de
l’endommagement initial a disparu dans le cas le plus doux (une seule cascade), tandis que seulement
10% des paires présentes initialement se sont recombinées dans le cas le plus aggressif (50 cascades). Les
Endommagement (u.a.)
plus grosses poches amorphes, formées par l’implantation de plusieurs cascades, sont donc plus stables.
1.0
50 cascades
0.8
20 cascades
0.6
0.4
10 cascades
0.2
1 cascade
0.0
0.0
0.2
0.4
0.6
0.8
Temps de relaxation (s)
1.0
Figure II.65. Quantité normalisée d’interstitiels présents dans les poches amoprhes en fonction du temps de
relaxation à température ambiante, pour différentes densités initiales de défauts.
128
Chapitre II
La simulation atomistique
Parallèlement, la Figure II.66 met en évidence que ce sont bien les plus grosses poches amorphes qui
100
10 cascades
75
50
25
0
0
25
50
75
100
nombre de lacunes dans
les poches amorphes
nombre de lacunes dans
les poches amorphes
restent après relaxation, alors que le plus petites ont subi des recombinaisons de paires en leur sein.
100
50 cascades
75
50
25
0
0
nombre d'interstitiels dans
les poches amorphes
25
50
75
100
nombre d'interstitiels dans
les poches amorphes
Figure II.66. La comparaison avec la Figure II.64 montre que contrairement aux petites poches amorphes, les
plus grosses d’entre elles n’ont pas subi de recombinaisons après une seconde à température ambiante.
C’est ainsi que le flux instantané a déjà un effet sur l’accumulation de défauts au cours d’une impulsion.
Les courbes de la Figure II.67 représentent l’évolution de la quantité de défauts au cours d’une
impulsion, pour deux différents flux instantanés. Dans les deux cas la dose implantée au cours de
l’impulsion est la même. Ici encore l’endommagement est mesuré en dose totale d’intestitiels présents
dans les poches amorphes. L’échelle de temps a été normalisée de telle sorte que 0 est pris au début de
l’impulsion, et 1 en figure la fin. La comparaison de ces deux courbes met en exergue l’accroissement de
30
20
10
0
0
10
20
30
nombre d'interstitiels dans
les poches amorphes
nombre de lacunes dans
les poches amorphes
nombre de lacunes dans
les poches amorphes
l’accumulation de défauts au cours d’une impulsion avec un flux instantané d’implantation plus élevé.
30
20
10
0
0
10
20
30
nombre d'interstitiels dans
les poches amorphes
Figure II.67. Avec un flux instantané d’implantation plus faible, il y a à la fin de l’impulsion moins de poches
amorphes contenant à la fois des interstitiels et des lacunes : la cause en est la plus grande efficacité de
recombinaison avec un flux instantané plus faible.
Dans ces conditions, même si il y a effectivement une certaine relaxation des défauts entre chaque
impulsion, les poches amorphes formées avec un flux instantané d’implantation élevé sont suffisamment
129
Chapitre II
La simulation atomistique
stables après l’impulsion pour ne pas subir une recombinaison totale de leurs défauts. L’accumulation de
dommages sera donc plus efficace avec un flux instantané d’implantation plus élevé.
II.3.2.2.4 Résumé
Nous avons étudié les effets de la variation de quelques paramètres (liés aux machines d’implantation) sur
l’accumulation de défauts au cours d’une implantation ionique. Les paramètres étudiés étaient les vitesses
de balayage et de rotation de la roue, et le diamètre du faisceau pour l’implanteur multiplaques, et la
vitesse de balayage et la largeur de faisceau pour l’implanteur monoplaque. Nous avons gardé constant
non seulement l’espèce, la dose et l’énergie d’implantation, mais également la température de la plaque et
le flux moyen d’implantation (et donc le courant total du faisceau). Dans ces conditions nos simulations
ons montré que seule la focalisation du faisceau (son diamètre pour l’implanteur multiplaques et sa
largeur pour l’imlpanteur monoplaque) ont une incidence sur l’accumulation de défauts. Nous avons
donc introduit le flux instantané d’implantation, qui est le flux d’implantation vu par un point de la
plaque tandis qu’il passe à travers le faisceau. Il est directement lié à la focalisation du faisceau. Ce flux
instantané d’implantation a un effet important sur l’accumulation de défauts, au même titre que le flux
moyen d’implantation et que la température du substrat. Bien que la focalisation du faisceau soit très
fortement dépendante de l’énergie d’implantation, elle peut varier d’un implanteur à l’autre (même de
même modèle), l’utilisateur n’ayant par ailleurs aucun contrôle dessus. Cependant il est également
important de considérer ce paramètre pour des expériences concernant l’amorphisation et, plus
généralement, l’étude des défauts d’implantation.
II.3.2.3 Optimisation par simulation atomistique des jonctions ultra-fines de bore
à l’aide d’implantations pré-amorphisantes et de recuits à forte rampe de
température
II.3.2.3.1 Introduction
Cette étude a été présentée lors de la conférence de printemps MRS (MRS Spring 2008), et fait
également l’objet d’un article (associé à la conférence) [Singer08b].
Faut-il le répéter, l’enjeu des jonctions ultraminces est d’introduire de fortes concentration de dopants
actifs dans les extensions des source et drain, tout en contrôlant leur diffusion. Une des méthodes
possible pour atteindre le meilleur compromis résistance par carré / profondeur de jonction est d’utiliser
des recuits courts et à haute-température, tels que les recuits rapide, ou des recuits spike à très fortes
rampes de température. Les recuits millisecondes (flash, LASER) assurent de très bons niveaux
d’activation avec une très faible diffusion, mais doivent être associés avec des recuits plus classiques.
Sinon des défauts étendus restent après le procédé de fabrication et les jonctions sont parfois trop
abruptes, ce qui peut conduire à des niveaux de fuite inacceptables [Felch00].
Ce travail présente l’utilisation de simulations atomistiques dans une optique d’abord de
compréhension des effets de rampes aggressives de température, puis d’optimisation du compromis
résistance par carré / profondeur de jonction (Rs/Xj) pour les jonctions ultrafines de bore. Les deux
avantages de DADOS, qui permettent de suivre cette conduite au cours de cette étude, sont de toujours
130
Chapitre II
La simulation atomistique
donner une bonne tendance même sans calibrage spécifique, et de guider l’utilisateur pour la
compréhension des phénomènes observés. En effet tous les mécanismes implémentés sont toujours pris
en compte simultanément, et les données atomistiques sont accessibles à tout moment du procédé, ce
qui n’est pas le cas expérimentalement.
II.3.2.3.2 Résultats et discussion
II.3.2.3.2.1 Simulations de structures simplifiées avec des profils en créneau
La structure utilisée pour ces premières simulations est décrite sur la Figure II.68. Bien que la simplicité
des simulations soit prépondérante dans un premier temps, il est nécessaire de garder à l’esprit les détails
suivants, afin d’interpréter justement les résultats. Le profil carré de bore a une concentation équivalente
à la concentration maximale du profil implanté, c'est-à-dire 4x1021 cm-3. Il est situé près de la surface de
manière à imiter des conditions de jonction ultrafine. Puisqu’une position des interstitiels éloignée du
profil de bore ne se justifie que grâce à une implantation préamorphisante, nous avons également mis
une couche amorphe dans notre structure, depuis la surface jusqu’au profil carré d’interstitiels. En effet,
sans cette couche amorphe tous les atomes de bore introduits seraient initialement actifs ; or durant la
phase de recristallisation les atomes de bore se répartissent entre dopants actifs (potentiellement mobiles)
et inactifs (immobiles). Les profil en créneau d’interstitiels utilisé a une concentration qui varie entre
1x1017 et 1x1022 cm-3. Sa position correspond à celle de la zone fortement endommagée de fin de
parcours. Elle est également variable car la profondeur amorphe est directement liée à l’énergie de
l’implantation préamorphisante. Sa largeur de 10 nm est proche des caractéristiques du pic d’interstitiels
trouvé juste derrière l’interface amorphe / cristal après implantation. Un recuit à température constante à
1000°C est enfin effectué, avec une rampe de montée de temps négligeable par rapport au plateau.
Concentration
B marker
4E21
cm-3
10 nm
a/c interface depth
(variable position)
Interstitials
(variable position
and concentration)
Amorphous layer
Figure II.68. Structure simplifiée utilisée pour les premières simulations montrant la couche amorphe et les
profils de concentration en créneau de bore et d’interstitiels. La position et la concentration des interstitiels sont
variables.
Dans la suite de cette étude, et par abus de langage, nous appellerons Xj (profondeur de jonction) la
profondeur à laquelle la concentration de bore est de 1x1018 cm-3. Nous allons regarder l’augmentation de
ce Xj avec l’augmentation du budget thermique. Pour cela, une augmentation du temps de recuit – à
température constante – est appliquée et l’augmentation de Xj résultante est exprimée en %. Cet
indicateur donne une information sur la sensibilité du Xj au budget thermique, pour chaque condition
examinée. Un exemple des profils de bore diffusés est montré sur la Figure II.69.
131
Chapitre II
La simulation atomistique
Concentration (cm-3)
1.E+22
B - Initial
Interst. - Initial - Shallow
Interst. - Initial - Deep
B anneal 0.5s - I shallow
1.E+21
1.E+20
1.E+19
B anneal 2s - I shallow
B anneal 0.5s - I deep
B anneal 2s - I deep
1.E+18
1.E+17
0
20
40
60
80
Depth (nm)
Figure II.69. Exemple de profils de bore après des recuits de 0.5 et 2 s à 1000°C. Veuillez noter l’effet significatif
de l’augmentation du budget thermique quand les interstitiels sont placés à 70 nm de profondeur, tandis que
quasiment aucun effet n’est observé quand les interstitiels sont à 10 nm de la surface, très proches du profil
initial de bore. Les profils initiaux de bore et d’interstitiels sont également tracés pour rappel.
Nous observons premièrement que l’augmentation de la concentration d’interstitiels réduit largement
cette sensibilité. Cette tendance est clairement montrée par la Figure II.70 (a). La diffusion du bore est
due à la formation de paires bore – interstitiel (BI). En condition d’équilibre, les interstitiels sont générés
thermiquement. Si la température augmente, la concentration d’interstitiels augmente (suivant une loi
d’Arrhénius) ; si le temps de recuit augmente, la quantité totale d’interstitiels qui auront été générés
pendant le recuit sera plus importante (à concentration égale). Donc quand le budget thermique est plus
important, le nombre total d’interstitiels générés pendant le recuit est plus grand, ainsi que le nombre de
paires bore – interstitiel ; la diffusion augmente alors. Quand des interstitiels sont introduits, la
concentration d’interstitiels résultante est plus haute que celle à l’équilibre. La concentration de paires
bore – interstitiel dépend de la concentration d’interstitiels. Il est communément admis que la
sursaturation, définie comme le rapport [I]/[I]équilibre, conduit à la diffusion transitoire et accélérée du bore
[Cowern99a]. Lorque la quantité d’interstitiels injectés augmente, la concentration de paires dépend plus
de cette injection, et moins de la génération thermique d’interstitiels. La diffusion du bore est à son tour
moins impactée par le budget thermique. De plus, l’effet de la position des interstitiels a été examiné.
Nous avons alors observé que la sensibilité de la diffusion au budget thermique est « dégradée » quand
les interstitiels sont placés plus proches de la surface, comme le montre la Figure II.70 (b). Cela
prouve qu’après leur concentration, la position des interstitiels par rapport au profil de bore est le second
paramètre à prendre en compte. C’est parce que la sursaturation décroît au fur et à mesure que l’on
s’éloigne de cette région fortement endommagée. En effet les interstitiels qui diffusent effectuent des
sauts élémentaires d’un site à l’autre, suivant un chemin aléatoire. Le profil d’interstitiels mobiles
s’approche donc d’une gaussienne, qui s’étale au cours du recuit. En résumé nous venons donc de
montrer que pour ces conditions la sensibilité de Xj au budget thermique est largement réduite quand les
interstitiels sont présents à forte concentration et autour du profil de bore.
132
La simulation atomistique
65
a
(a)
55
45
35
25
15
5
1.E+17 1.E+18 1.E+19 1.E+20 1.E+21 1.E+22
Xj enhancement (%)
Xj enhancement (%)
Chapitre II
35
b
(b)
30
25
20
15
10
5
0
Interstitials concentration (cm-3)
20
40
60
80
Interstitials position in bulk (nm)
Figure II.70. Augmentation de la profondeur de jonction Xj due à l’augmentation du budget thermique en
fonction de la concentration (a) et de la position (b) initiales des interstitiels. Xj ne subit plus l’influence de
l’augmentation du budget thermique quand les interstitiels sont proches du bore ou en grande quantité.
II.3.2.3.2.2 Simulations avec des paramètres expérimentaux et expériences
Une implantation de bore à 1 keV et d’une dose de 5x1014 cm-2 a été simulée. Pour le recuit rapide de
type spike, à température maximale variant de 1040 à 1100°C, les profils de température imitent soit un
recuit classique à base de lampes, soit un recuit avancé utilisant la conduction thermique. Pour celui-ci
nous utilisons le Levitor [Granneman01], qui permet l’obtention de rampes de températures au-delà de
600 K/s, tout en restant dans les mêmes gammes de temps et de température. Le budget thermique total
est alors beaucoup moins important avec le Levitor. Des profils de température typiques de ces deux
types de recuits sont présentés sur la Figure II.71.
1100
Lamp 1100
Levitor 1100
800
ZOOM
400
0
Temperature (°C)
Temperature (°C)
1200
1090
1080
1070
1060
1050
0
10
20
30
40
Time (s)
50
60
30.25
31
Time (s)
31.75
Figure II.71. Comparaison des rampes de température des recuits à base de lampe et à conduction thermique
(ici pour une température de 1100°C).
Examinons tout d’abord l’activation du bore. La Figure II.72 issue de nos simulations représente la dose
de bore actif en fonction de la température au cours du recuit. Sans préamorphisation (a), le temps
passé à haute température permet pour les deux types de recuit un niveau d’activation qui est celui à
l’équilibre à la température maximale. Bien que l’équilibre ne soit pas atteint pendant la rampe de montée,
dans les deux cas le temps passé à haute température conduit au même niveau d’activation. Quand la
préamorphisation est utilisée, bien que le « chemin » d’activation soit différent, cette fois encore le même
niveau d’activation est atteint avec les deux types de recuit, pour une même température. Le processus
global d’activation consiste en fait à une première suractivation (au-delà du niveau d’activation à
l’équilibre) après recristallisation, suivie par une désactivation qui tend à rejoindre l’équilibre, comme le
montrent nos simulations (b). Nous expliquerons cela plus en détail un peu plus bas.
En résumé, l’activation du bore dépend de la température maximale atteinte et du temps passé à cette
température, avec et sans préamorphisation.
133
La simulation atomistique
a(a)
Levitor
Lamp
2.E+14
Ramp-down
1.E+14
Ramp-up
0.E+00
650
750
850
950
3.E+14
b(b)
2.E+14
SPER
3.E+14
B active dose (cm-2)
B active dose (cm-2)
Chapitre II
1.E+14
End of ramp-up
Levitor
Lamp
0.E+00
1050
650
750
Temperature (°C)
850
950
1050
Temperature (°C)
Figure II.72. Comparaison de l’activation du bore entre les recuit lampe et Levitor : évolution de la dose de bore
actif avec le cycle de température, sans (a) et avec (b) préamorphisation au germanium (80 keV).
Examinons maintenant la diffusion du bore en utilisant ce que nous avons appris de nos simulations
simplifiées. Ces dernières ont été effctuées à 1000°C, et les expériences utilisent des températures de
recuit de 1040 à 1100°C ; mais les tendances étaient les mêmes également dans ces gammes de
80
Augmentation du Xj (%)
Augmentation du Xj (%)
température (1080°C, voir Figure II.73).
70
60
50
40
30
20
10
0
1.E+17
70
60
50
40
30
20
10
0
1.E+18
1.E+19
1.E+20
1.E+21
Concentration des interstitiels (cm-3)
1.E+22
0
10
20
30
40
50
60
70
80
Position des interstitiels (nm)
Figure II.73. Augmentation de la profondeur de jonction Xj due à l’augmentation du budget thermique en
fonction de la concentration (a) et de la position (b) initiales des interstitiels, pour une température de recuit
de 1080°C. Les tendances observées sont les mêmes qu’à 1000°C.
Sans préamorphisation, les interstitiels sont situés dans la région de forte concentration de bore, ce qui
conduit à une faible sensibilité de la diffusion du bore au budget thermique, comme nous l’avons vu
précédemment. La profondeur de jonction est donc similaire pour les deux types de recuit. Nous nous
attendons ainsi au même compromis Rs/Xj dans les deux cas. Quand la préamorphisation est utilisée, les
interstitiels restent seulement au-delà de l’interface cristal / amorphe, loin du profil de bore. Donc la
diffusion du bore devrait être plus sensible aux variations du budget thermique. En d’autres termes, la
réduction du budget thermique total du Levitor par rapport aux lampes devrait permettre une réduction
de la profondeur de jonction. Il est donc raisonnable de penser que la combinaison de la
préamorphisation avec le recuit Levitor permet d’améliorer le compromis Rs/Xj.
C’est ce que confirment les simulations avec des paramètres identiques à ceux des expériences.
L’implantation de bore est précédée ou non d’une implantation préamorphisante de germanium à 80
keV. Nous avons également simulé le recuit soit de type lampe, soit de type Levitor, dans les deux cas à
différentes températures. Le recuit Levitor améliore le compromis Rs/Xj seulement quand il est associé à
de la préamorphisation (Figure II.74). Des expériences basées sur ces conditions de procédés montrent
exactement la tendance prédite (Figure II.75) : le recuit par conduction thermique à rampes de
température très élevées ne peut améliorer le compromis Rs/Xj que si le substat a été préalablement
134
Chapitre II
La simulation atomistique
amorphisé. Il faut noter que sur les deux figures suivantes la valeur de la résistance par carré a été
normalisée par rapport à celle obtenue avec le recuit lampe à 1040°C.
110
110
PAI - Lamp
95
80
Rs (%)
Rs (%)
No PAI - Lamp
No PAI - Levitor
(a)a
65
95
80
PAI - Levitor
(b)b
65
30
35 40 45 50 55 60
Xj (nm) @ [B] = 1E18 cm-3
65
30
35 40 45 50 55 60
Xj (nm) @ [B] = 1E18 cm-3
65
Figure II.74. Résultats de simulations donnant le compromis R+/Xj de la jonction étudiée. Aucune
amélioration n’est obtenue avec le recuit Levitor (a), à moins de préamorphiser le subsrtat (b).
150
100
No PAI - Lamp
90
No PAI - Levitor
Rs (%)
Rs (%)
110
80
70
PAI - Lamp
125
PAI - Levitor
100
75
50
30
35 40 45 50 55 60
Xj (nm) @ [B] = 1E18 cm-3
65
30
35 40 45 50 55 60
Xj (nm) @ [B] = 1E18 cm-3
65
Figure II.75. Résultats expérimentaux montrant l’amélioration du compromis Rs/Xj avec le Levitor
uniquement si il est associé à une préamorphisation.
II.3.2.3.2.3 De l’activation du bore en fonction de la profondeur amorphe
Avec préamorphisation, il est intéressant de regarder le cycle d’activation du bore en fonction de la
profondeur amorphe. D’abord, la recristallisation conduit à une suractivation (au-delà du niveau
d’équilibre) ; puis la désactivation se produit, avec une certaine cinétique ; enfin le bore se réactive. Mais
voyons maintenant tout cela plus en détail.
La Figure II.76 représente l’évolution de la dose de bore actif au cours du recuit de type lampe. Quand
le silicium se recristallise, la solubilité limite du bore est au-delà de l’équilibre [Aboy06]. Dès lors que
l’énergie d’implantation préamorphisante diminue, la profondeur amorphe diminue. La recristallisation,
qui se passe pendant la rampe de montée en température, est alors plus courte (en temps), et donc se
termine à plus basse température. Cela ne change pas la quantité de bore actif (après recristallisation) tant
que tout le profil de bore implanté est contenu dans la couche amorphe, c’est-à-dire jusqu’à 15 keV (a).
Si la profondeur amorphe est encore réduite, une partie seulement du profil de bore se trouve avant
l’interface cristal / amorphe. Ainsi la quantité de bore actif diminue avec l’énergie d’implantation du
germanium (b), pour une solubilité limite équivalente (concentration). Ce niveau d’activation élevé tend
à rejoindre son équilibre, ce qui a pour résultat la désactivation du bore alors que le temps passe – et la
température augmente (c). Mais puisque la température augmente, la solubilité limite du bore
augmente. Bientôt l’équilibre est rejoint, avat la fin de la rampe de montée, et la dose de bore actif
recommence à augmenter avec la température. Sur la courbe d’activation, on voit un minimum à la
température où l’équilibre est atteint (d).Quand la température maximale est atteinte, le bore actif
135
Chapitre II
La simulation atomistique
diffuse via le mécanisme d’expulsion et les agglomérats de bore se dissolvent pour maintenir la
concentration de bore actif à sa valeur d’équilibre. C’est pourquoi la quantité de bore actif augmente
légèrement (e). Enfin on n’observe pas d’évolution significative de l’activation pendant la rampe de
descente. Une attention particulière doit être portée aux cas de fortes énergies d’implantation du
germanium (80 keV ou plus), où les cinétiques de désactivation ne permettent pas d’atteindre l’équilibre
avant la fin de la rampe de montée (f). Cela est dû au fait que la recristallisation se termine à plus haute
température : le temps nécessaire à la recristallisation est plus long quand la couche amorphe est plus
épaisse. Finalement, quelque soit la profondeur amorphe, le niveau d’activation d’équilibre est atteint à la
température maximale, sinon avant. En conclusion, l’activation du bore dépend de la température
maximale et du temps passé à cette température, que le substrat soit amorphisé ou non, et quelle que soit
la profondeur amorphe.
(b)
2.E+14
PAI: Ge
5 keV
(a)
(f)
Rampdown
(e)
SPER
B active dose (cm-2)
3.E+14
1.E+14
PAI: Ge
15 keV
(d)
(c)
PAI: Ge
80 keV
(d)
0.E+00
600
700
800
900
1000
1100
1200
Temperature (°C)
Figure II.76. Evolution en température de la dose de bore actif pendant le cycle de température du recuit rapide
de type lampe à 1060°C. (a) La recristallisation se complète à différentes températures selon la profondeur
amorphe initiale. (b) Si le profil de bore n’est pas entièrement contenu dans la couche amorphe, la dose active
après recristallisation n’est pas maximale. (c) Désactivation du bore pendant la suite de la rampe de montée.
(d) Atteinte de l’équilibre et réactivation du bore pendant la fin de la rampe de montée. (e) Réactivation à la
température maximale. (f) Implantations préamorphisantes à haute énergie : la désactivation du bore ne rejoint
pas l’équilibre avant que la température maximale ne soit atteinte.
Les simulations de la Figure II.77 montrent par ailleurs que les mécanismes sont exactement les mêmes
avec les deux types de recuit, quelque soit la profondeur amorphe. Il n’y a de différence que sur la
cinétique de désactivation avant d’atteindre la température maximale. Au cours de la rampe de montée la
cinétique ne permet pas au bore de se désactiver aussi vite dans le cas du Levitor que dans le cas du recuit
lampe. Mais à haute température, l’activation du bore atteint son équilibre quel que soit le type de recuit.
La conclusion est donc la même que précédemment : l’activation du bore ne dépend que de la
température maximale atteinte et du temps passé à cette température.
136
La simulation atomistique
B active dose (cm-2)
3.E+14
3.E+14
a(a)
Ge 5 keV - Levitor
Ge 5 keV - Lamp
2.E+14
1.E+14
B active dose (cm-2)
Chapitre II
b(b)
2.E+14
Ge 80 keV
- Levitor
1.E+14
Ge 80 keV
- Lamp
0.E+00
0.E+00
600
700
800
900
1000
Temperature (°C)
1100
600
700
800
900
1000
Temperature (°C)
1100
Figure II.77. Comparaison de la désactivation et de la réactivation du bore pendant le cycle de température du
Levitor ou du recuit à base de lampes, pour une température maximale atteinte de 1060°C. Avec le Levitor
l’équilibre n’est pas atteint pendant la rampe de montée mais plutôt à la température maximale, que ce soit à
basse (a) ou à haute (b) énergie de préamorphisation.
II.3.2.3.3 Résumé
Nous avons présenté une approche typique qui peut être suivie pour optimiser le procédé de fabrication
de jonctions ultrafines par simulation atomistique. Nous avons d’abord démontré que le Levitor
n’apporte aucun gain, par rapport au recuit classique dans une machine à lampes, sur la résistance par
carré ; l’activation du bore est la même avec les deux types de recuit, que le substrat soit préamorphisé ou
non, et, si c’est le cas, quelle que soit la profondeur amorphe. Pour pouvoir affirmer cela, nous avons
utilisé les simulations pour examiner en détail les cycles d’activation et désactivation du bore au cours du
recuit. En revanche, la diminution du budget thermique total due au Levitor par rapport au recuit lampe
permet de réduire la profondeur de jonction, mais uniquement dans le cas où une préamorphisation a été
effctuée. Il est donc nécessaire de préamorphiser le substrat pour tirer parti des rampes de température
aggressives du Levitor, dans le but d’améliorer le compromis Rs/Xj de la jonction. Nos conclusions ont
finalement été confirmées par les expériences.
II.3.3 Limites, perpectives
Qu’est-ce que, actuellement, DADOS ne saurait simuler ?
Tout d’abord, l’introduction d’un nouveau matériau dans DADOS, le SiGe, est en cours de
développement. Actuellement, les premiers résultats sont prometteurs [Castrillo07], et ce, pour toutes
les fractions de germanium (du silicium pur, bien sûr, jusqu’au germanium pur). C’est un matériau qui
prend de plus en plus d’importance : il permet d’introduire une contraine mécanique dans le canal des
transistors, ce qui a pour effet d’améliorer la mobilité des porteurs de charge, donc la performance du
dispositif. Mais c’est un sujet bien vaste et fort complexe, et je vous renvoie à d’autres lectures pour en
apprendre davantage (par exemple [Ortolland06, Rochette08]). Mais la contrainte mécanique est
soupçonnée d’avoir également des effets plus ou moins importants sur la diffusion des défauts cristallins
ponctuels et des impuretés dans le silicium [Aziz97/01]. L’introduction, basée sur des propriétés
physiques, de ces effets éventuels dans DADOS permettra d’en mesurer les conséquences, et de valider
ou d’invalider certaines hypothèses en concevant, à l’aide de la simulation, des expériences judicieuses.
C’est ce qui a été proposé dans [Castrillo08] : en comparant l’évolution d’une population de défauts
137
Chapitre II
La simulation atomistique
étendus, en taille et en nombre d’interstitiels piégés, il est possible d’obtenir une information quantitative
sur l’anisotropie de la difusion des interstitiels ou/et des lacunes sous l’effet d’une contrainte biaxiale
dans le silicium. C’est ce que suggèrent les simulations ; les expériences correspondantes n’ont pas
encore, à notre connaissance, été réalisées.
Par ailleurs, il est des sujets que la simulation Monte Carlo sans réseau ne saurait résoudre. Il s’agit de
tous les phénomènes qui nécessitent la prise en compte du réseau cristallin pour être appréhendés. Par
exemple, quel est l’effet de la contrainte mécanique sur la vitesse de recristallisation du silicium
amorphe ? De même, la vitesse de recristallisation n’est pas la même pour des plans cristallographiques
denses (par exemple {111}) ou moins denses ({100}).
Ces raisons, conjuguées ou non, ont conduit à l’observation de défauts de recristallisation des source et
drain de certains MOSFETs. Dans ce cas, la zone amorphe est limitée en largeur, comme le montre la
Figure II.78 (a). Après recristallisation, des dislocations apparaissent (Figure II.78 (b)), qui ne sont
pas des défauts d’implantation, mais dont l’origine exacte n’est pas encore parfaitement comprise. Une
explication a cependant été proposée par [Jones08a/08b, Rudawski08].
(a)
(b)
(c)
(d)
Figure II.78. (a) Amorphisation des zones de source / drain de MOSFET après implantation, en technologie
CMOS 45 nm. (b) Observation de défauts de recristallisation après recuit : des défauts typiquement non
simulables avec DADOS… (c) et (d) Détails de ces défauts.
138
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
Chapitre III.
ETUDE PAR DLTS DES NIVEAUX PROFONDS
ASSOCIES AUX DEFAUTS ETENDUS DU SILICIUM
III.1 Introduction
Le nom de DLTS vient de l’anglais, « Deep Level Transient Spectroscopy », soit spectroscopie des
transitoires de capacité des niveaux profonds.
L’objectif des mesures DLTS est d’analyser les niveaux profonds présents dans le gap des
semiconducteurs. Nous commencerons donc par donner une brève description de ces niveaux
profonds.
Quelles informations tirer de ces mesures ? A travers une description de la technique utilisée pour
les mesures DLTS, nous verrons que la position du niveau énergétique dans le gap et la section efficace
de capture sont les deux premières informations que nous pouvons en tirer. La localisation, la
concentration sont également accessibles sur certains échantillons, voire des profils de concentration en
profondeur des pièges, par des mesures plus fines.
Mais on peut également être renseigné sur la distribution énergétique des niveaux profonds analysés,
lorsque les défauts génèrent des pièges dont les niveaux énergétiques ne sont pas tous identiques. Pour
cela, une analyse fine du spectre obtenu est nécessaire : la modélisation de ce spectre, par une méthode
que nous allons expliquer, peut alors s’avérer très instructive.
Dans le contexte du présent travail, les mesures par DLTS seront utilisées pour analyser les niveaux
profonds associés aux défauts étendus du silicium : les résultats obtenus seront alors présentés et
discutés. Ces défauts étendus sont directement liés aux fuites de jonction, à travers ces pièges qu’ils
génèrent dans le gap du silicium. L’objectif est donc, à terme, d’exploiter les informations tirées de ces
mesures DLTS, afin d’améliorer leur prise en compte dans les modèles de fuites de jonction, et
d’améliorer ainsi la fiabilité des simulations électriques.
139
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
III.2 Les niveaux profonds dans le silicium
III.2.1 Origine des niveaux profonds
Dans le cristal de silicium, est considérée comme défaut toute perturbation du réseau cristallin parfait. Ce
peut être des défauts cristallins ponctuels, interstitiels, lacunes, des impuretés en site substitutionnel ou
interstitiel, mais aussi des agglomérats mixtes impuretés – défauts cristallins ponctuels, et jusqu’à des
défauts étendus de lacunes ou d’interstitiels. Les perturbations issues de ces défauts conduisent à la
formation de niveaux « autorisés » dans la bande interdite du semiconducteur, qui vont donc pouvoir
interagir avec les porteurs de charge libres (électrons et trous).
Si la perturbation du réseau est faible, le niveau d’énergie associé sera proche de la bande de valence (EV)
ou de la bande de conduction (EC) ; c’est le cas, par exemple, des dopants en site substitutionnel
[Pichler04]. Si la perturbation est plus importante en revanche, le niveau d’énergie sera plus éloigné de
EC ou EV : c’est ce qu’on appelle un niveau profond. Son interaction avec les porteurs est forte. Une des
particularités des niveaux profonds est de générer un potentiel spatialement bien localisé. Les porteurs
capturés sont donc confinés dans l’espace réel.
Les défauts simples, typiquement les défauts ponctuels, introduisent dans la bande interdite un niveau
très localisé en énergie. Ce n’est en revanche pas le cas des défauts étendus, qui génèrent des bandes de
niveaux profonds dans le gap. En effet, les niveaux énergétiques introduits dans la bande interdite par un
défaut étendu le sont principalement pour des raisons structurelles, à cause de la déformation du réseau.
Prenons l’exemple d’une dislocation. La déformation qu’elle génère dans le cristal s’étend bien au-delà de
l’extrémité du plan atomique supplémentaire, à plusieurs distances atomiques du défaut proprement dit.
Chaque atome de silicium déplacé génère potentiellement un niveau profond dans la bande interdite. Or
plus les atomes sont éloignés de la dislocation, moins leur déplacement par rapport à leur site originel est
important. Il n’y a donc aucune raison pour que les niveaux générés par chacun des déplacements soient
tous identiques ; bien qu’étant de même nature (déformation structurelle due à la présence d’une
dislocation). Les caractéristiques de chacun des très nombreux niveaux générés peuvent donc changer
légèrement d’un site à l’autre… menant à la formation, dans la bande interdite, non pas d’un niveau
énergétique bien défini, mais d’une minibande de niveaux, dont la répartition énergétique dans le gap est
une gaussienne, centrée sur le niveau principal [Omling85, Ayres92]. Dans ce cas, les mesures DLTS
nous donneront d’abord ce niveau principal ; les caractéristiques de la fonction gaussienne de répartition
seront accessibles par une analyse plus fine du spectre obtenu.
III.2.2 Interaction des niveaux profonds avec les porteurs libres
La recombinaison entre les électrons de la bande de conduction et les trous de la bande de valence peut
être directe : elle est alors accompagnée de l’émission de photons et de phonons. Mais il est également
possible que la recombinaison soit assistée par un niveau profond. Ce dernier sera considéré :
140
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
- comme un piège, si le porteur capturé, après être resté piégé un certain temps, est réémis vers sa bande
de provenance ;
- comme un centre de recombinaison, si un porteur de charge opposée est aussi capturé par le niveau
avant que le premier ne soit réémis ;
- ou encore comme un centre de génération, si des porteurs de charges opposées sont émis dans un
court intervalle de temps. Par exemple, les deux réactions : émission d’un trou dans la bande de
valence et émission successive d’un électron dans la bande de conduction, sont équivalentes à :
émission d’un électron depuis la bande de valence vers le niveau profond, puis émission d’un électron
(éventuellement le même) depuis le piège vers la bande de conduction. Nous verrons plus loin
(paragraphe IV.2.3.2.3) que cela s’appelle du courant tunnel bande à bande assisté par piège.
Un niveau profond peut avoir quatre types d’interaction avec les porteurs libres.
(v) Capture d’un électron : c’est la capture par le niveau profond d’un électron de la bande de
conduction, c'est-à-dire un électron libre.
(vi) Emission d’un électron : c’est l’émission d’un électron du piège vers la bande de conduction.
(vii) Capture d’un trou : c’est la capture d’un trou de la bande de valence (donc libre) par le piège, soit
l’équivalent de l’émission d’un électron du piège vers la bande de valence. L’électron en question est
alors lié.
(viii) Emission d’un trou : c’est l’émission d’un trou du piège vers la bande de valence, ou en d’autres
termes la capture d’un électron lié (de la bande de valence) vers le piège.
Ces différents évènements sont représentés sur la Figure III.1.
EC
(a)
(b)
(i)
(ii)
ET
(c)
(d)
(iii)
(iv)
EV
Figure III.1. Description schématique des interactions possibles entre un niveau profond et les porteurs libres.
Les flèchent représentent les mouvements des électrons. (a) Capture d’un électron (i). (b) Emission d’un
électron (ii). (c) Capture d’un trou (iii). (d) Emission d’un trou (iv).
Les fréquences d’émission et de capture associées à un niveau profond, et rapportées par unité de
volume, s’expriment ainsi. Soit NP la concentration de niveaux profonds (cm-3) et F leur probabilité
d’occupation.
(i) Fréquence de capture des électrons νn(capture) :
ν n (capture ) = c n ⋅ N P ⋅ (1 − F ) .
Eq. III.1
141
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
(ii) Fréquence d’émission des électrons νn(émission) :
ν n (émission ) = e n ⋅ N P ⋅ F .
Eq. III.2
(iii) Fréquence de capture des trous νp(capture) :
ν p (capture ) = c p ⋅ N P ⋅ F .
Eq. III.3
(iv) Fréquence d’émission des trous νp(émission) :
ν p (émission ) = e p ⋅ N P ⋅ (1 − F ) .
Eq. III.4
Dans ces équations, ex et cx représentent respectivement les taux d’émission et de capture des porteurs
(en s-1), l’indice x se référant aux électrons (n) ou aux trous (p).
Le taux de capture cn (cp) des électrons (trous) est proportionnel à la section efficace de capture σn (σp), à
la concentration d’électrons n (de trous p), et à la vitesse thermique moyenne des porteurs <vth.n>
(<vth.p>) :
c n = σ n ⋅ n ⋅ v th .n
Eq. III.5
c p = σ p ⋅ p ⋅ v th . p .
Eq. III.6
Nous rappelons ici que la concentration n d’électrons et la concentration p de trous s’expriment de la
sorte :
 E − eF 
n = N C ⋅ exp − C

k⋅T 

Eq. III.7
 e − EV
p = N V ⋅ exp − F
k⋅T

Eq. III.8

.

Si, pour un niveau donné, le taux de capture des électrons est supérieur au taux de capture des trous,
c'est-à-dire si cn > cp, alors le niveau considéré est, la plupart du temps, occupé par un électron : il est
donc considéré comme un piège à électrons. Si au contraire le taux de capture des trous est plus élevé
que le taux de capture des électrons (cp > cn), alors il s’agira d’un piège à trous. Enfin, si ces deux taux de
capture sont sensiblement égaux (cn ≈ cp), le niveau sera un centre de recombinaison. Donc le
comportement du niveau dépend de sa section efficace de capture et de la concentration de porteurs
libres. Considérons le cas des électrons : le cas des trous est équivalent.
Le calcul du taux d’émission se fait par l’intermédiaire de la relation qui le lie au taux de capture :
e n ⋅ F = c n ⋅ (1 − F ) .
Eq. III.9
C’est la statistique de Fermi-Dirac qui donne la probabilité d’occupation F(EP) d’un niveau :
F(E P ) =
1
1
 E − EF
1 + ⋅ exp T
g
 k⋅T



,
Eq. III.10
où g est le niveau de dégénérescence du niveau profond (nombre d’états possibles de l’électron situé sur
le niveau d’énergie considéré, dont la valeur communément admise est 1) ;
142
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
si bien que :
1
 E − EP
e n = σ n ⋅ v th . n ⋅ N C ⋅ ⋅ exp − C
g
k⋅T


,

Eq. III.11
avec
1
v th .n
 3⋅k ⋅ T  2
 ,
= 
*
m


n
Eq. III.12
et
3
 2 ⋅ m *n ⋅ k ⋅ T  2
 ,
N C = 2 ⋅ 
h2


Eq. III.13
h étant la constante de Planck.
Pour les trous, on obtient ainsi :
 E − EV 
e p = σ p ⋅ v th . p ⋅ N V ⋅ g ⋅ exp − P
,
k⋅T 

Eq. III.14
avec :
1
v th . p
 3⋅k ⋅ T  2
 ,
=
 m *p 


Eq. III.15
et
3
 2 ⋅ m *p ⋅ k ⋅ T  2
 .
NV = 2 ⋅
2


h


Eq. III.16
Ainsi nous venons de montrer que que le taux d’émission ex était fonction du niveau énergétique EP et de
la température. En traçant sa valeur en fonction de la température, il devient donc possible de calculer le
niveau énergétique EP et sa section efficace de capture σx.
Reste à mesurer ce taux d’émission : c’est ce que va nous permettre la DLTS.
III.3 Description de la méthode de mesure
Cette méthode de mesure a été proposée en 1974 par Lang et ses collègues, et décrite dans [Lang74]. Il
s’agit d’analyser les variations transitoires de capacité associées à la capture ou l’émission de porteurs par
les niveaux profonds, dans la zone de charge d’espace d’une diode. Dans ce paragraphe, nous nous
intéresserons particulièrement au cas d’une jonction asymétrique n+p, type de l’échantillon que nous
avons analysé au cours de ce travail. Les raisonnements restent similaires pour une diode Schottky.
Dans le cas de cette jonction asymétrique, la zone de charge d’espace s’étend princpalement du côté le
moins fortement dopé.
143
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
La valeur de la capacité de jonction Cjc° dépend de l’étendue WZCE de la zone de charge d’espace :
C jc ° =
ε Si ⋅ S jc °
WZCE
,
Eq. III.17
où εSi est la permittivité du silicium et Sjc° est la surface de la jonction mesurée.
Or cette étendue de la zone de charge d’espace est influencée par l’occupation des niveaux profonds :
WZCE =
2 ⋅ ε Si
q ⋅ N dop + N P +
(
)

k⋅T
 ,
⋅  Vbi − Va −
q


Eq. III.18
avec Ndop le dopage du silicium, NP+ la concentration de pièges occupés, Vbi le potentiel intrinsèque de la
jonction, et Va la tension appliquée.
La présence du terme NP+ montre ici l’influence des pièges occupés sur l’étendue de la zone de charge
d’espace, et partant sur la capacité de la jonction.
On polarise la jonction en inverse ; ainsi la zone de charge d’espace est-elle étendue. La jonction dans cet
état est représentée sur la Figure III.2.
n+
p
Ec
EF
Ev
WZCE
Figure III.2. Représentation schématique de la jonction n+p sous polarisation inverse, avec ses niveaux
profonds occupés par des électrons.
On va ensuite appliquer une impulsion de variation de tension, pendant lequel la jonction sera moins
polarisée, voire non polarisée. L’étendue de la zone de charge d’espace va se réduire, principalement du
côté faiblement dopé (Figure III.3). Le niveau profond va alors, dans la zone p, capturer des trous,
c'est-à-dire relâcher des électrons dans la bande de valence.
144
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
n+
p
Ec
EF
Ev
WZCE
Figure III.3. Représentation schématique de la jonction n+p pendant l’impulsion de tension. Les flèches
représentent les mouvements des électrons.
Quand l’impulsion est terminée, les pièges vont émettre des trous, c'est-à-dire progressivement se remplir
d’électrons venant de la bande de valence (Figure III.4). Au fur et à mesure de ces émissions de trous, la
capacité de la jonction va être modifiée, jusqu’à rejoindre sa valeur initiale. C’est ce régime transitoire qui
va être analysé afin de connaître les caractéristiques des niveaux profonds.
n+
p
Ec
EF
Ev
WZCE
Figure III.4. Représentation schématique de la jonction n+p pendant son retour à l’état initial, juste après
l’impulsion de tension. Les électrons de la bande de valence viennent à nouveau, au cours d’un régime
transitoire, peupler le niveau profond. Les flèches représentent les mouvements des électrons.
Pour cette analyse, nous allons mesurer la capacité de la jonction, juste après la fin de l’impulsion de
tension, à deux moments différents t1 et t2. La différence C(t1) – C(t2) est retenue. Puis on modifie la
température, et on recommence la procédure : impulsion de tension, et mesures de capacité pendant le
régime transitoire. Cette technique est appelée DLTS double boxcar. On obtient ainsi une courbe du
signal DLTS S = C(t2) - C(t1) en fonction de la température :
S(T ) = [C(t 1 ) − C(t 2 )](T ) .
Eq. III.19
Cette fonction passe par un maximum à une température donnée Tmax, pour laquelle le taux d’émission
correspondant en(Tmax) est :
145
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
e n (Tmax ) =
ln (t 2 ) − ln (t 1 )
.
t 2 − t1
Eq. III.20
La raison pour laquelle cette fonction possède un maximum est la suivante. La Figure III.5, tirée de
[Lang74], illustre les propos suivants. Plus la température est élevée, plus l’émission des porteurs sera
rapide. Pour une température très inférieure à Tmax, il n’y aura quasiment aucune émission avant les deux
mesures de capacité ; le niveau ne changeant pas d’état, la capacité de la jonction va rester constante,
notamment entre t1 et t2, et le signal S = C(t1) - C(t2) sera nul. Pour une température très supérieure à Tmax
en revanche, toutes les émissions possibles auront eu lieu avant la première mesure ; ainsi le signal sera
nul, à nouveau. Ce n’est que lorsque la fréquence d’émission est la plus élevée entre t1 et t2, à la
température considérée, que la signal sera maximal. La température à laquelle le signal maximal est
enregistré dépend du couple {t1 ; t2} choisi. C’est ainsi que, pour différents couples {t1 ; t2}
correspondant à différents taux d’émission en, on peut tracer en en fonction de la température. C’est cela
qui va nous permettre de déterminer le niveau énergétique EP et la section efficace de capture σx du
niveau analysé.
Figure III.5. Illustration de l’obtention d’un maximum du signal pour une température donnée. La figure de
gauche montre la variation de la capacité (transitoire) alors que les niveaux émettent des porteurs, pour
différentes températures. La mesure de capacité est effctuée aux temps t1 et t2. La courbe de droite montre le
signal DLTS résultant en fonction de la température. Figure tirée de [Lang74].
La calcul de EP et σp se fait alors à partir de la relation suivante :
où
146
 E − EV 
e p = σ p ⋅ v th . p ⋅ N V ⋅ g ⋅ exp − P
,
k⋅T 

Eq. III.21
 E − EV 
soit e p = K p ⋅ σ p ⋅ T 2 ⋅ exp − P
,
k⋅T 

Eq. III.22
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
Kp =
2
5
2
⋅g⋅ 3 2
⋅ k ⋅ m *p
3
h
Eq. III.23
est une constante.
A chaque couple {t1 ; t2 } choisi correspond un ep donné. Pour chaque ep, on a relevé la température Tmax
où le signal DLTS atteint un maximum. En traçant ln(ep/T2) en fonction de 1/T, on obtient une droite
dont la pente est – (EP – EV)/k ; de là on obtient EP. L’ordonnée à l’origine de cette droite égale par
ailleurs ln(Kp.σp), constante de laquelle on tire σp.
III.4 Méthode de modélisation du spectre mesuré
Considérons tout d’abord un niveau profond énergétiquement localisé. Son taux d’émission ep (on se
place encore dans le cas d’un piège à trous, comme dans le paragraphe précédent) dépend de sa position
dans le gap, c'est-à-dire de l’énergie d’activation Ea = EP – EV du piège.
L’expression de la capacité mesurée en fonction du temps t au cours du transitoire, donc juste après la fin
de l’impulsion, est la suivante :
[
]
C(t ) = C 0 ⋅ exp − e p (E a ) ⋅ t .
Ainsi le signal DLTS enregistré sera :
{ [
( )
Eq. III.24
]
[
]}
S e p = ∆C = C 0 ⋅ exp − e p (E a ) ⋅ t 1 − exp − e p (E a ) ⋅ t 2 .
Eq. III.25
Cependant dans le cas de défauts étendus, que nous nous attendrons à trouver sur nos échantillons, c’est
une « minibande » de niveaux profonds qui est générée dans le gap, avec une répartition gaussienne
autour du niveau principal (voir paragraphe III.2.1 et [Omling85, Ayres92]).
Ainsi la capacité mesurée à un temps t dépend-elle également des caractéristiques de cette gaussienne. La
fonction gaussienne de répartition des niveaux dans la bande interdite sera appelée g(E), et son écart –
type sera σgauss :
g (E ) =
 (E − E 0 )2 
1
.
⋅ exp −
 2 ⋅ σ gauss 2 
σ gauss ⋅ 2 ⋅ π


Eq. III.26
L’expression de la capacité pendant le transitoire sera donc [Omling83/85] :
[
]
C(t ) = ∫ g (E ) ⋅ C 0 ⋅ exp − e p (E ) ⋅ t ⋅ dE ,
Eg
0
Eq. III.27
où Eg est la largeur de la bande interdite du silicium.
Enfin le signal DLTS dans le cas d’une répartition énergétique en gaussienne sera :
( )
{ [
]
[
]}
S e p = C 0 ⋅ ∫ g (E ) ⋅ exp − e p (E ) ⋅ t 1 − exp − e p (E ) ⋅ t 2 ⋅ dE .
Eg
0
Eq. III.28
Ce calcul est effectué pour plusieurs températures (ep dépendant de celle-ci), ce qui nous donnera
plusieurs points de la courbe du signal DLTS en fonction de la température.
147
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
La constante C0 sera ajustée pour chaque fenêtre DLTS, c'est-à-dire pour chaque courbe S(T) mesurée.
Enfin il est possible d’ajuster un éventuel décalage en température des signaux mesurés et calculés en
affinant la valeur de la section efficace de capture σp.
III.5 Résultats
Après avoir décrit l’échantillon analysé, nous présenterons le spectre obtenu, et les données que nous en
avons d’abord retirées. Nous irons plus loin dans le paragraphe suivant en modélisant ce spectre : les
modèles des niveaux profonds utilisés pour l’ajustement aux courbes expérimentales nous donneront par
ailleurs d’autres informations.
III.5.1 Description de l’échantillon
L’échantillon utilisé pour ces mesures est une jonction n+p, fabriquée à Crolles sur une plaque de silicium
de 300 mm au dopage initial uniforme de bore de 1x1015 cm-3. Ce sont des implantations de type poche
(BF2, énergie 30 keV, dose 4x1013 cm-2, inclinaison 25° et rotation 23°) et extension de source / drain
(arsenic, 1 keV, 5x1014 cm-2, inclinaison et rotation nulles), ayant subi un recuit rapide de type spike à
1030°C. Il s’agit donc d’une jonction ultrafine (< 20 nm), et fortement asymétrique (un facteur de l’ordre
de 30 entre les concentrations maximales de bore et d’arsenic actifs). Les SIMS obtenus sur ces
échantillons sont présentés sur la Figure III.6.
1E+22
Concentration (cm-3)
1E+21
SIMS B Recuit
SIMS As Recuit
1E+20
1E+19
1E+18
1E+17
1E+16
0
20
40
60
80
100
120
140
160
180
200
Profondeur (nm)
Figure III.6. Profils de concentration de l’arsenic et du bore obtenus par analyse SIMS pour caractériser la
jonction étudiée en DLTS.
Sur la plaque de 300 mm, des morceaux d’environ 4 cm2 ont été clivés. Ces morceaux ont subi un dépôt
d’aluminium d’environ 0.5 à 1 µm d’épaisseur (par CVD). Les échantillons de diverses surfaces (de 0.4 à
4 mm2) ont finalement été découpés et mis en boîtiers DIL16. Pour cela, ils ont été amincis
mécaniquement jusque 150 µm, afin de limiter la résistance série (initialement 750 µm), collés sur le fond
du boîtier avec une pâte conductrice ; les contacts ont donc été pris en fond de boîtier pour la face arrière
et directement sur l’échantillon pour la face avant.
148
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
Les mesures, que nous allons maintenant présenter, ont été effectuées sur le banc DLTS de l’Institut des
Nanotechnolgies de Lyon (INL), sur le site de l’INSA.
III.5.2 Présentation du spectre obtenu et premiers résultats
Les mesures obtenues avec différentes fenêtres de mesure, correspondant chacune à un taux d’émission
particulier, sont présentées sur la Figure III.7. La présence des pics sur ces signaux DLTS est la preuve
qu’il y a des niveaux profonds dans la bande interdite de notre échantillon.
0.5
0.4
Signal DLTS (pF)
ep=23.25
0.3
ep=46.5
ep=116.27
0.2
ep=232.5
ep=465.1
ep=1162.79
ep=2325.58
ep=4651.16
0.1
0.0
120
140
160
180
200
220
240
Température (K)
Figure III.7. Spectre DLTS obtenu expérimentalement pour huit valeurs différentes de ep.
Afin d’extraire de ces courbes le niveau énergétique caractérisé, et sa section efficace de capture, nous
avons tracé sur la Figure III.8 la courbe représentant ln(ep/T2) en fonction de 1/T.
1/T (K-1)
ln(ep/T2) [ln(s-1.K-2)]
0.0047
0.0052
0.0057
0.0062
0.0067
-2
ln(ep/T2) = -3327.4/T + 14.06
-4
-6
-8
Figure III.8. La courbe ln(ep/T2) = f(1/T) (symboles) a été approchée par une droite (trait continu), dont
l’équation est indiquée sur la figure.
149
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
Des erreurs de mesure de la température ou de la capacité conduisent à une courbe qui n’est pas une
droite parfaite. Afin de remédier à ce problème, nous avons utilisé l’équation donnée par la régression
linéaire de la courbe expérimentale.
La pente de cette droite est liée à l’énergie du niveau profond par l’équation suivante :
pente = −
EP − EV
.
k
Eq. III.29
Dans notre cas, cela mène à un niveau situé à 0.287 eV de la bande de valence : EP – EV = 0.287 eV.
Par ailleurs l’ordonnée à l’origine de cette droite vaut ln(Kp.σp) où Kp est défini par l’équation Eq. III.23.
Nous trouvons ainsi que la section efficace de capture du niveau trouvé ici est de 1.3636x10-14 cm2, soit
σp = 1.3636 nm2.
Afin d’aller plus loin dans l’analyse, nous allons maintenant tenter d’obtenir, par le calcul, les courbes
mesurées du signal DLTS en fonction de la température.
III.5.3 Modélisation du spectre obtenu
La méthode utilisée est précisément celle décrite dans la partie III.4.
Pour le niveau énergétique et la section efficace de capture, nous avons utilisé les valeurs trouvées cidessus. Pour chacune des courbes de signal DLTS, c'est-à-dire pour chaque taux d’émission mis en
valeur, nous avons calculé la courbe théorique en ajustant deux paramètres : la constante C0 de l’équation
Eq. III.28, ainsi que l’écart – type de la fonction gaussienne (Eq. III.26). Afin d’ajuster au mieux chacune
des courbes calculées à son pendant expérimental, nous avons effectué cette opération pour chaque taux
d’émission séparément.
Les courbes théoriques obtenues sont comparées aux courbes expérimentales sur la Figure III.9.
ep=23.25
ep=23.25_Calcul
Signal DLTS (pF)
0.5
0.4
ep=46.5
ep=46.5_Calcul
0.3
ep=116.27
ep=116.27_Calcul
ep=232.5
ep=232.5_Calcul
ep=465.1
ep=465.1_Calcul
ep=1162.79
0.2
ep=1162.79_Calcul
ep=2325.58
ep=2325.58_Calcul
0.1
0.0
120
ep=4651.16
ep=4651.16_Calcul
140
160
180
200
220
240
Température (K)
Figure III.9. Comparaison du spectre DLTS obtenu par calcul avec le spectre mesuré expérimentalement.
150
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
Les valeurs des différents paramètres utilisés pour ajuster les courbes théoriques sont résumées dans la
Tableau III.1.
Tableau III.1. Paramètres utilisés pour l’ajustement des modèles de courbes DLTS.
ep (s-1)
σp (nm2)
C0 (pF)
σgauss (meV)
23.25
1.8409
0.52
33
46.5
0.9545
0.9
30
116.27
0.7500
1.02
23
232.5
0.7909
1.53
25
465.1
1.0227
1.59
23
1162.79
1.3363
1.97
29
2325.58
1.7727
1.95
32
4651.16
1.3091
2.07
39
Moyennes
1.22 nm2
1.44
29 meV
On peut considérer que le niveau caractérisé est le même pour chacune des fenêtres choisies, c'est-à-dire
pour chaque taux d’émission mis en valeur. Ainsi, les valeurs de C0, de σp, et de σgauss devraient être les
mêmes pour chacune de ces courbes. Les écarts que l’on observe peuvent être imputés à des erreurs de
mesure (par exemple de la température ou du signal lui-même, qui est relativement faible), mais
également des modèles utilisés pour calculer les courbes.
La valeur moyenne de la section efficace de capture est ici d’environ 1.22 nm2, ce qui est, somme
toute, assez proche de la valeur initialement calculée. En ce qui concerne l’écart – type de la gaussienne,
qui caractérise l’étalement énergétique dans le gap des différents niveaux profonds caractérisés, sa valeur
moyenne est d’environ 29 meV.
Sur la Figure III.10 sont représentées pour ep = 1162.79 s-1 : la courbe mesurée, la courbe calculée avec
une répartition gaussienne des niveaux dans le gap, ainsi que la courbe calculée avec un niveau simple à
Ea0 = 0.287 eV. Cela permet de constater l’effet de cette répartition gaussienne des niveaux, à savoir un
Signal DLTS (pF)
étalement du signal. En s’étalant le pic perd égelement en intensité.
1.0
0.9
0.8
0.7
0.6
0.5
0.4
0.3
0.2
0.1
0.0
ep=1162.79
ep=1162.79_Calcul
ep=1162.79_Calcul
Niveau Simple
140
160
180
200
220
240
Température (K)
151
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
Figure III.10. Effet de la répartition énergétique en gaussienne sur le signal DLTS : étalement de la courbe.
III.6 Discussion
Nous avons donc identifié un niveau profond dans la bande interdite du silicium de notre échantillon. Le
niveau principal est placé à 0.287 eV de la bande de valence.
La modélisation du spectre obtenu a permis d’affiner la valeur de la section efficace de capture
initialement calculée : elle a été finalement déterminée à 1.22 nm2. Mais surtout, il a été nécessaire
d’introduire une répartition énergétique en gaussienne dans la bande interdite. L’écart – type de la
fonction gaussienne est d’environ 29 meV. Cette gaussienne est représentée dans le gap sur la Figure
III.11.
s=0.01 meV
s=23 meV
s=39 meV
s=29 meV
0
0.56
EV
1.12
EC
Figure III.11. Répartition énergétique en gaussienne des niveaux profonds identifiés par DLTS dans la bande
interdite du silicium.
Cette répartition énergétique en gaussienne est caractéristique de différentes compositions d’alliages dans
des semiconducteurs de type III – V [Omling83]. Dans un semiconducteur de la colonne IV comme le
silicium, elle peut être due à la présence de défauts étendus ou de dislocations. Nos résultats sont en effet
proches de ceux décrits dans [Ayres92], dont les auteurs ont trouvé qu’un des niveaux présumé associé à
des boucles de dislocations se trouvait à 0.26 eV de la bande de valence, avec une répartition de 32 meV.
Par ailleurs Omling et ses collègues rapportent également dans [Omling85] les résultats d’analyses
DLTS de dislocations créées par la déformation plastique du silicium. Ils trouvent que celles-ci ont une
énergie d’activation de 0.29 eV, et un écart – type de la gaussienne de 22 meV. Les caractéristiques des
niveaux profonds générés par la déformation du cristal autour d’une dislocation n’ont, à notre
connaissance, jamais fait l’objet de calculs théoriques.
Ainsi l’analyse de nos mesures DLTS semble bien montrer que les niveaux caractérisés sont associés à
des défauts étendus, et plus particulièrement à des boucles de dislocations. Après un recuit à 1030°C,
même court (recuit rapide de type spike dans notre cas), la présence de défauts {311} est peu probable.
152
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
Quant à la présence de boucles de dislocations, elle est confirmée par nos simulations effectuées avec
DADOS. La Figure III.12 montre les défauts obtenus après les implantations BF2 (30 keV, 4x1013 cm-2,
25° et 23°) et arsenic (1 keV, 5x1014 cm-2, 0° et 0°) suivies du recuit rapide spike à 1030°C. Le profil de
concentration d’interstitiels associés à ces défauts étendus est également comparé aux profils de dopants
obtenus par SIMS. La localisation des boucles de dislocation se trouve juste derrière la jonction
métallurgique, c'est-à-dire dans la région d’extension de la zone de charge d’espace.
(a)
1E+22
SIMS B Recuit
Concentration (cm-3)
1E+21
SIMS As Recuit
1E+20
DADOS Interstitiels dans
Boucles de Dislocation
1E+19
(b)
1E+18
1E+17
1E+16
0
20
40
60
80
100
120
140
160
180
200
Profondeur (nm)
Figure III.12. (a) Projection « en coupe latérale » des défauts restant après le recuit spike sur nos échantillons,
d’après les simulations. Les points bleus représentent les atomes de dopants, et les boucles de dislocation sont
visibles en rouge – orangé. (b) Localisation en profondeur des boucles de dislocation par rapport aux profils
de dopants obtenus par analyses SIMS.
Cet échantillon a cependant été analysé par microscopie électronique à transmission au CEMES, en
particulier par Pier-Francesco Fazzini. Aucun défaut étendu n’a alors pu être observé, comme le
montrent les deux photos prises en vue plane de la Figure III.13.
200 nm
500 nm
Figure III.13. Les photos en vue plane ne révèlent pas de défauts étendus dans l’échatillon analysé en
microscopie électronique à transmission.
153
Chapitre III
Etude
par
DLTS
des
niveaux
profonds
associés aux défauts étendus du silicium
La raison la plus plausible serait que la densité de boucles de dislocation soit très faible, ce qui pourrait
expliquer que l’analyse par microscopie électronique à transmission n’en ait pas révélées. Dans ce cas,
pourquoi les détecterait-on par DLTS ? La technique de DLTS est d’abord extrêmement sensible en
concentration ; par ailleurs, une seule boucle de dislocation génère de nombreux niveaux dans le gap,
comme nous l’avons expliqué dans la paragraphe III.2.1. C’est pourquoi la DLTS est vraisemblablement
sensible à la présence d’un très petit nombre de boucles de dislocations.
Remarquons enfin qu’il est probable que les niveaux profonds associés aux dislocations piègent des trous
ou des électrons, selon le dopage de la région dans laquelle ils se trouvent… ce sont des pièges a priori
« neutres », dans le sens où ils ne sont pas dus à une interaction chimique entre une impureté et le réseau
du silicium, mais bien à une déformation purement mécanique de celui-ci. Il paraît donc logique que les
porteurs majoritaires soient préférentiellement piégés par ces déformations, que ce soient des électrons
(zone dopée n) ou des trous (zone dopée p). Comme dans le cas présent nous avons sondé la partie p de
la jonction (zone la moins dopée, dans laquelle s’étend la zone de charge d’expace), ces niveaux profonds
piègent uniquement les porteurs majoritaires de la région p, c'est-à-dire les trous.
III.7 Conclusion
Nous avons utilisé la DLTS pour déterminer les caractéristiques des niveaux profonds associés à des
défauts étendus. Ces mesures ont été effectuées sur des jonctions ultraminces de moins de 20 nm de
profondeur. Nous avons ensuite modélisé le spectre de DLTS obtenu.
C’est ainsi que des niveaux associés à des boucles de dislocation ont été identifiés. Ils présentent une
répartition énergétique en gaussienne dans le gap, centrée autour d’un niveau principal. Les
caractéristiques de ces pièges sont résumées dans le Tableau III.2. Dans un semiconduteur pur, ces
propriétés sont caractéristiques de la présence de défauts étendus, en particulier dislocations ou boucles
de dislocations.
Tableau III.2. Caractéristiques des niveaux profonds associés aux boucles de dislocation analysés par DLTS.
Intitulé
Abréviation
Valeur
Niveau principal
Ea0 = EP – EV
0.287 eV
Type de distribution énergétique
gaussienne
Répartition énergétique
σgauss
29 meV
Section efficace de capture
σp
1.22 nm2
L’association des niveaux profonds détectés à des boucles de dislocation a été discutée. Malgré l’absence
apparente de ces défauts étendus sur les observations au microscope électronique à transmission, les
simulations des implantations et recuit de l’échantillon ainsi que les analyses DLTS tendent à prouver que
ces niveaux sont bien générés par la présence de boucles de dislocation.
154
Chapitre IV
Etude des courants de fuite de jonction
Chapitre IV.
ETUDE DES COURANTS DE FUITE DE JONCTION
Nous savons à présent simuler l’évolution des défauts au cours du procédé de fabrication, et donc
reconnaître les procédés à la fin desquels des défauts étendus persistent ; le cas échéant, où ils sont situés,
et en quelle quantité. Nous avons également recueilli de nombreuses informations sur les niveaux
profonds que ces défauts étendus, c'est-à-dire – dans le cas le plus fréquent – les boucles de dislocation,
généraient dans la bande interdite du silicium, et ce grâce aux mesures par spectroscopie des transitoires
des niveaux profonds. Toutes ces informations, introduites dans les modèles de courants de fuites de
jonctions, devraient nous permettre d’améliorer la qualité des résultats de simulations de ces fuites dans
les transistors, tâche à laquelle nous allons nous livrer dans ce chapitre.
IV.1 Structure et propriétés de base de la jonction pn
Considérons deux morceaux de silicium, l’un dopé n et l’autre dopé p. Rappelons que dans un
semiconducteur dopé, on trouve des dopants ionisés et autant de porteurs de charge libres. Quand on
assemble ces deux parties, on se trouve en présence de forts gradients de concentration de porteurs
libres, gradients qui tendent à les faire diffuser :
- les électrons ont tendance à migrer de la zone n vers la zone p,
- les trous ont tendance à diffuser de la zone p vers la zone n.
Localement, de part et d’autre de la jonction , la condition de neutralité n’est alors plus observée : du côté
p, un excès d’électrons s’accumule aux accepteurs ionisés, tandis que du côté n un excès de trous s’ajoute
aux donneurs ionisés. La plupart des porteurs minoritaires en excès se recombinent avec des porteurs
majoritaires, ce qui d’ailleurs ne change pas l’état de charge de chacune des régions. On obtient donc de
part et d’autre de la jonction métallurgique deux zones chargées (négativement du côté p et positivement
du côté n), plus ou moins désertées par les porteurs. On appelle donc cette région zone de déplétion ou
zone de charge d’espace (ZCE).
La présence d’un dipôle dans la zone de charge d’espace entraîne la création d’un champ électrique, qui
va en retour s’opposer à la diffusion des porteurs. Ces derniers se trouvent ainsi en équilibre entre deux
155
Chapitre IV
Etude des courants de fuite de jonction
forces qui les entraînent : celle due aux gradients de concentration (courant de diffusion) et celle
appliquée par le champ électrique (courant de dérive). La Figure IV.1 résume schématiquement ces
différentes notions.
entraînement
des électrons :
(a)
par le gradient de concentration
ZONE DE CHARGE
D’ESAPCE
par le champ électrique
h+
+
h
p
e-
h+
h+
e-
h+
ee-
h+
entraînement
des trous :
e-
n
e-
par le gradient de concentration
par le champ électrique
(b)
p
n
EC
q.Vi
EF
EV
Figure IV.1. (a) Représentation schématique d’une zone de charge d’espace et des flux équilibrés de porteurs
provoqués par les gradients de concentration et le champ électrique. (b) Structure de bande d’une jonction pn.
IV.1.1 Calcul du champ électrique à la jonction
En utilisant la statistique de Boltzman, en considérant un flux de porteurs nul (équilibre), et en posant
l’équation de neutralité, la différence de potentiel électrostatique Vi, entre les deux régions neutres,
engendrée par le dipôle, (tension intrinsèque) se calcule ainsi :
Vi =
k ⋅ T  Nd ⋅ Na
⋅ ln
2
q
 ni

 ,

avec Nd le niveau de dopage côté n et Na le niveau de dopage côté p.
Par ailleurs le champ électrique Eélec au sein de la zone de déplétion s’exprime par :
156
Eq. IV.1
Chapitre IV
Etude des courants de fuite de jonction
q ⋅ Na

E élec p = − ε ⋅ x − x p pour le côté p

Si
,

q
⋅
N
d
E
=
⋅ (x − x n ) pour le côté n
 élec n
ε Si
(
)
Eq. IV.2
avec εSi la constante diélectrique du silicium, xp = -Wp et xn = -Wn sur un axe x allant du p vers le n et
dont l’origine coïncide avec la jonction métallurgique.
IV.1.2 Calcul de l’étendue de la zone de charge d’espace
La zone de charge d’espace s’étend sur une distance totale WZCE répartie entre la région p et la région n :
WZCE = Wp + Wn ,
Eq. IV.3
Wp et Wn étant liées par la relation :
Wp ⋅ N a = Wn ⋅ N d .
Eq. IV.4
Enfin Wp et Wn sont fonction des valeurs de dopage et de la tension intrinsèque :
1
 2 2 ⋅ ε Si
⋅ Vi
 Wp = q ⋅ N ⋅
Na
a 1+

Nd

.

 W 2 = 2 ⋅ ε Si ⋅ 1 ⋅ V
i
 n
q ⋅ Nd 1 + Nd

Na

Eq. IV.5
IV.2 Les courants de la jonction pn
Nous allons à présent décrire les phénomènes régissant les courants de la jonction, idéale d’abord et
réelle ensuite. Nous aborderons le cas d’une polarisation en direct de la jonction, mais nous nous
pencherons plus en détail sur la situation de polarisation inverse.
IV.2.1 La polarisation de la jonction
La jonction pn a le comportement électrique d’une diode : nous l’allons montrer tout à l’heure.
L’application d’une polarisation Va aux bornes de la diode s’applique en quasi totalité sur la zone de
charge d’espace (qui est isolante), les régions neutres, riches en porteurs libres, étant conductrices.
IV.2.2 La diode idéale : courants de dérive et de diffusion
IV.2.2.1 En polarisation directe
Si l’on applique une polarisation positive sur le côté p de la jonction (le côté n restant à la masse), la
barrière de potentiel de la jonction s’abaisse et ne vaut plus que Vi – Va. Autrement dit, le champ
157
Chapitre IV
Etude des courants de fuite de jonction
électrique intrinsèque est contrecarré et n’empêche donc plus la diffusion des porteurs. Les électrons
circulent donc de la région n vers la région p et les trous de la région p vers la zone n (voir Figure IV.2).
Il apparaît donc un courant de diffusion. En polarisation directe, la jonction laisse passer le courant.
IV.2.2.2 En polarisation inverse
Si la polarisation positive est appliquée sur le côté n de la jonction, la barrière de potentiel de celle-ci est
augmentée et passe à Vi + Va. Le champ intrinsèque est renforcé par ce champ extérieur, et bloque alors
totalement la diffusion des porteurs majoritaires. Seuls les porteurs minoritaires qui atteignent la zone de
déplétion sont entraînés par le champ : c’est le courant de dérive, qui reste néanmoins à un niveau très
faible.
Pour résumer, la Figure IV.2 représente la jonction dans tous ces états.
Si l’on applique une polarisation Va au côté p de la jonction et que le côté n est porté à la masse,
l’expression de la densité de courant est :
  q ⋅ Va  
J = J 0 ⋅ exp
 − 1 .
  k⋅T  
Eq. IV.6
Le courant varie donc exponentiellement avec une polarisation directe, tandis qu’il se réduit au courant
de dérive J0 en polarisation inverse.
158
Chapitre IV
Etude des courants de fuite de jonction
A l’équilibre
Diffusion
EC
Dérive
EF
EV
En polarisation
directe
En polarisation
inverse
Diffusion
Diffusion
EC
EC
Dérive
Dérive
EF
EV
EF
EV
Figure IV.2. Structure de bande et courants de dérive et de diffusion pour trois états de la jonction.
IV.2.3 La diode non idéale
IV.2.3.1 Polarisée en direct
La jonction réelle présente un facteur d’idéalité n, qui est égal à 1 si la diode est idéale, et qui, sinon, est
supérieur à 1. La densité de courant de la diode réelle en polarisation directe s’exprime par :
  q ⋅ Va  
J = J 0 ⋅ exp
 − 1 ,
  n ⋅k ⋅T  
Eq. IV.7
où n est le facteur d’idéalité de la jonction. Aux tensions élevées, les phénomènes de forte injection d’une
part et de résistance d’autre part limitent le niveau du courant.
159
Chapitre IV
Etude des courants de fuite de jonction
IDEALE : pente = q/kT
ln J
forte résistance série
forte injection
NON IDEALE
pente = q/nkT
n>1
Va
Figure IV.3. Caractéristique de la jonction pn en polarisation directe.
IV.2.3.2 Polarisée en inverse
Nous avons vu que la diode idéale polarisée en inverse présentait un courant presque nul. Dans les cas
réels, ce n’est pas vrai : on parle donc de courant de fuite.
Trois mécanismes sont principalement responsables de la fuite de jonction, que nous allons à présent
décrire. Les deux premiers font intervenir des niveaux profonds dans la bande interdite, localisés dans la
zone de charge d’espace. Les deux derniers sont liés à un effet tunnel subi par les porteurs. D’autres
mécanismes contribuent également au courant inverse, il feront l’objet d’un dernier paragraphe.
IV.2.3.2.1 La génération Shockley Read Hall
La mécanisme de génération Shockley Read Hall (SRH) est lié à la présence de niveaux profonds dans la
zone de charge d’espace de la jonction. Un électron est piégé par un de ces niveaux, gagne de l’énergie et
sort dans la bande de conduction. Une fois dans la bande de conduction (quand il est libre donc), il est
accéléré par le champ électrique de la zone de déplétion : c’est ainsi qu’il contribue au courant inverse de
diode. Ces raisonnements sont également valables avec les trous, dans le cas où les niveaux sont plutôt
des pièges à trous.
La Figure IV.4 représente schématiquement le mécanisme de génération SRH dans une zone de charge
d’espace.
160
Chapitre IV
Etude des courants de fuite de jonction
EC
+ ∆E
EV
p
n
Figure IV.4. Diagramme de bandes montrant schématiquement l’émission SRH d’un électron d’un niveau
profond et son accélération par le champ électrique de la jonction.
Le porteur a d’abord besoin d’énergie pour se libérer du piège : la génération SRH dépend donc de la
température. Par ailleurs, le porteur étant accéléré par le champ électrique régnant dans la zone de charge
d’espace, le courant généré par ce mécanisme dépend de la tension appliquée aux bornes de la jonction.
IV.2.3.2.2 La génération par effet tunnel bande à bande direct
L’effet tunnel bande à bande direct (TBBD de Tunnel Bande à Bande Direct) a lieu quand le champ
électrique à travers la jonction est très fort. Dans ce cas, la courbure des bandes est telle que la distance
entre la bande de valence du côté p à la bande de conduction du côté n devient assez petite pour
permettre aux électrons de subir l’effet tunnel. La barrière de potentiel à travers laquelle l’électron doit
transiter est constituée par la bande interdite du semiconducteur. Cette barrière est triangulaire (voir
Figure IV.5) ; il s’agit donc d’un régime de type Fowler – Nordheim.
Plus la barrière est fine, plus la probabilité pour un électron de transiter par effet tunnel sera grande ; c’est
pourquoi ce mécanisme sera favorisé en présence d’une forte courbure des bandes. Cette courbure est
due soit à une jonction plus abrupte (voir Figure IV.5 (b)), soit à une polarisation inverse plus forte.
Un cas de figure très favorable à l’effet tunnel apparaît quand le bas de la bande de conduction du côté n
se retrouve plus bas que le haut de la bande de valence du côté p. On a alors un grand nombre
d’électrons d’un côté et un grand nombre d’états non occupés de l’autre (comme c’est le cas sur la
Figure IV.5), et les électrons n’ont pas besoin d’un apport d’énergie pour transiter de la bande de
valence vers la bande de conduction.
161
Chapitre IV
Etude des courants de fuite de jonction
(a)
EC
(b)
Barrière de type
Fowler – Nordheim
EC
EF
EF
EV
EV
EF
EF
p
n
p
n
Figure IV.5. Illustration de la génération tunnel bande à bande direct dans le cas d’une jonction peu abrupte
(a) ou très abrupte (b).
Lorsqu’un électron quitte la bande de valence, il y laisse un trou. L’électron étant libéré des liaisons
interatomiques, les deux porteurs générés sont libres. Situés spatialement dans la zone de charge
d’espace, ils sont alors accélérés par le champ électrique de la jonction. La génération par effet tunnel
engendre donc simultanément un courant de trous et un courant d’électrons.
La dépendance en température de la génération par effet tunnel direct est uniquement due à la réduction
de la hauteur de la bande interdite avec l’augmentation de la température.
En revanche, le courant dû à l’effet tunnel dépend fortement de la tension appliquée aux bornes de la
jonction, d’une part par la réduction de l’épaisseur de la barrière de potentiel (courbure des bandes due à
la présence d’un fort champ électrique), et, dans une moindre mesure, de l’accélération des porteurs
générés par le champ de la jonction.
A fort champ électrique – soit dû aux niveaux de dopage, soit à la tension appliquée aux bornes de la
diode – le courant est donc principalement dû à la génération tunnel bande à bande direct. A champ
électrique moyen, ce sera la composante de l’effet tunnel bande à bande assisté par piège qui dominera.
IV.2.3.2.3 La génération tunnel bande à bande assitée par pièges
La génération de porteurs par effet tunnel bande à bande assisté par piège (TBBAP de Bande à Bande
Assistée par Pièges) est une combinaison entre la capture d’un électron par un piège et l’effet tunnel à
travers la barrière de la zone de déplétion. Dans le cas de l’effet tunnel assisté par pièges, l’électron « se
sert » en effet d’un niveau profond situé dans la zone de charge d’espace comme étape au cours du
franchissement de la barrière. C’est comme si la présence d’un niveau profond avait divisé par deux la
largeur de la barrière à franchir par effet tunnel, favorisant grandement ce dernier. Ce mécanisme est
également équivalent à la génération SRH en présence d’un champ électrique ; mais c’est déjà un effet
tunnel. Ce phénomène dépend à la fois de la température et du champ électrique (donc de la tension
appliquée). En effet, l’électron peut quitter la bande de valence du côté p par effet tunnel ou alors en
gagnant de l’énergie par effet thermique.
La Figure IV.6 résume ces quelques informations concernant l’effet tunnel assisté par piège.
162
Chapitre IV
Etude des courants de fuite de jonction
EC
(a)
EF
EV
EF
(b)
p
n
Figure IV.6. Illustration schématique de l’effet tunnel assisté par piège : la paire électron – trou peut être
générée sous l’effet du champ électrique (a) ou par effet thermique (b).
Comme pour l’effet tunnel bande à bande direct, le tunnel assisté par piège génère à la fois un courant de
trous et un courant d’électrons. Les deux porteurs sont accélérés par le champ à la jonction.
IV.2.3.2.4 L’ionisation par impact
L’ionisation par impact est aussi appelée génération Auger, ou génération par avalanche. Elle arrive
quand un porteur (électron ou trou) est fortement accéléré dans une zone de charge d’espace où règne
un très fort champ électrique. Il gagne ainsi une grande quantité d’énergie, jusqu’à dépasser la hauteur de
la bande interdite : c’est ainsi qu’il devient capable de délocaliser un électron d’une liaison interatomique
(silicium – silicium), ce qui aboutit à la création d’une paire électron – trou. La paire est séparée sous
l’effet du champ, car les porteurs sont accélérés dans des directions opposées. Les porteurs créés et
accélérés vont à leur tour être capables de générer une paire, et ainsi de suite. Il s’agit donc d’une réaction
en chaîne produisant un effet d’avalanche. La Figure IV.7 représente schématiquement ce phénomène.
EC
EF
EV
p
n
Figure IV.7. Représentation schématique de la génération de porteurs par ionisation par impact.
Ce phénomène est indépendant de la température, mais est très fortement lié au champ électrique dans la
zone de déplétion.
163
Chapitre IV
Etude des courants de fuite de jonction
Si la génération par ionisation par impact est très forte, le courant s’amplifie extrêmement rapidement. Le
dispositif peut être dégradé au point de perdre ses propriétés électriques, ce qui peut avoir pour
conséquence le claquage de la jonction.
IV.3 La modélisation des courants de la jonction polarisée en inverse
Dans cette partie nous allons nous concentrer sur la modélisation des fuites de jonction, c'est-à-dire sur
les courants de la diode polarisée en inverse. Les modèles d’ionisation par impact, phénomène
normalement absent aux tensions de polarisation usuelles, ne seront pas présentés ici.
IV.3.1 Le courant de dérive
La première (et seule dans le cas d’une jonction parfaite) composante qui participe au courant de la
jonction polarisée en inverse est le courant de dérive. Rappelons que l’expression de la densité de courant
de la jonction idéale est :
J = Jn + J p ,
Eq. IV.8
où :
q ⋅ D n ⋅ n p0

 Jn =
Ln


 J = q ⋅ D p ⋅ pn0
 p
Lp

  q ⋅ Va
⋅ exp
  k⋅T
  q ⋅ Va
⋅ exp
  k⋅T
 
 − 1
 
 
 − 1
 
.
Eq. IV.9
Ainsi, dans l’expression de l’équation Eq. IV.6, la densité de courant J0 est égale à :
J0 =
q ⋅ Dp ⋅ n i 2
L p ⋅ ND
{
+
q ⋅ Dn ⋅ n i 2
,
L n ⋅ NA
{
côté n
Eq. IV.10
côté p
Lp (respectivement Ln) étant la longueur de diffusion des trous (respectivement des électrons) avant
recombinaison, et Dp (respectivement Dn) le coefficient de diffusion thermique des trous (respectivement
des électrons).
Or la densité de courant de dérive en polarisation inverse (côté n à la masse et Va négative côté p) se
résume à -J0.
Le courant de dérive est donc proportionnel à ni2, qui varie exponentiellement avec la température. La
dépendance en température du courant de dérive est donc de la forme :
 Eg 
 .
J 0 ∝ exp −
 k⋅T 
164
Eq. IV.11
Chapitre IV
Etude des courants de fuite de jonction
Mais cette composante du courant inverse demeure, dans les jonctions réelles, beaucoup plus faible que
les mécanismes dits de fuite de jonction.
IV.3.2 La génération Shockley Read Hall
Ce premier mécanisme de génération – recombinaison responsable du courant en polarisation inverse est
dû à la présence de niveaux profonds dans la zone de déplétion.
Dans les matériaux à gap indirect, le terme de recombinaison nette s’exprime par :
R SRH =
(
σ n ⋅ σ p ⋅ v th .n ⋅ v th . p ⋅ N P ⋅ p ⋅ n − n i 2

 E − EP
σ p ⋅ v th . p ⋅ p + n i ⋅ exp i
 k⋅T

)


 E − Ei
 + σ n ⋅ v th .n ⋅ n + n i ⋅ exp P

 k ⋅T




,
Eq. IV.12
avec vth la vitesse thermique des porteurs, EP le niveau énergétique dans le gap, NP la concentration des
niveaux profonds, σn (σp) la section efficace de capture des niveaux profonds pour les électrons (les
trous) [Sze07]. Sachant que la durée de vie des porteurs τn et τp s’exprime par :
1

τ n = σ ⋅ v ⋅ N

n
th . n
P
,

1
τ p =
σ p ⋅ v th . p ⋅ N P

Eq. IV.13
l’expression de la recombinaison de porteurs s’écrit donc également :
R SRH =
p ⋅n − ni2


 E − E P 
 E − Ei
τ n ⋅ p + n i ⋅ exp i
 + τ p ⋅ n + n i ⋅ exp P
 k ⋅ T 
 k⋅T





;
Eq. IV.14
ce qui, dans la zone de charge d’espace (p.n << ni2), peut éventuellement se réduire à [Duffy03]:
R SRH =
− ni
 E − ET 
 ET − Ei
τ n 0 ⋅ exp i
 + τ p 0 ⋅ exp
 k⋅T 
 k⋅T



.
Eq. IV.15
Dans l’expression Eq. IV.14, le temps de vie des porteurs dépend du niveau de dopage (i) et de la
température (ii).
(i) La relation de Scharfetter donne une relation de ce temps de vie avec la quantité totale de dopants
ionisés présents (indépendamment du type, n ou p : c’est la présence d’un champ localisé dû à
l’ionisation qui est responsable de la réduction de la durée de vie des porteurs) :
τ(N A + N D ) = τ min +
τ max − τ min
 N + ND 

1 +  A
N
ref


γ
,
Eq. IV.16
où Nref représente la quantité de dopants à partir de laquelle le temps de vie des porteurs est affecté.
Cette relation est d’origine empirique [Roulston82].
165
Chapitre IV
Etude des courants de fuite de jonction
(ii) En ce qui concerne la dépendance en température, il n’existe pas de modèle faisant l’unanimité. Mais
il est généralement accepté que le temps de vie augmente avec la température [Tyagi83, Goebel92].
Deux lois principales ont été proposées : une loi en puissance, décrite par la relation Eq. IV.17, et la
loi exponentielle de l’équation Eq. IV.18 :
α
 T 
τ(T ) = τ 0 ⋅ 
 ,
 300 
Eq. IV.17
  T

τ(T ) = τ 0 ⋅ exp C ⋅ 
− 1  ,
  300 
Eq. IV.18
la température étant exprimée en kelvin.
Pour ces deux lois, les paramètres α et C peuvent être ajustés selon les besoins. Pour l’une ou l’autre
τ(T )
.
loi, on appelera f(T) le rapport
τ0
N.B. : A ne pas oublier malgré tout, la génération SRH dépend par ailleurs de la température à travers
ni, et donc :
Eg 

 .
G SRH ∝ exp −
 2⋅k ⋅T 
Eq. IV.19
Pour enfin concilier les dépendances en température et en dopage du temps de vie, l’on peut écrire que :




τ max − τ min 

τ x (N A + N D , T ) = τ min +
⋅ f (T ) ,
γ 


N
+
N
D

 
1 +  A

 N ref  
Eq. IV.20
où x sied pour n pour les électrons et pour p pour les trous.
IV.3.3 La génération par effet tunnel bande à bande direct
Les équations modélisant l’effet tunnel que nous allons maintenant présenter ne sont pas forcément
adaptées aux matériaux à gap indirect ; mais elles ont le mérite d’exister, où, dit autrement, c’est encore ce
qui se fait de mieux actuellement – du moins à ma connaissance.
Pour commencer, rappelons que la probabilité pour un électron de franchir la barrière augmente quand
le champ électrique se renforce, où quand l’épaisseur de la barrière à franchir s’affine. C’est ce que traduit
la relation suivante :
 Eg 32
Pr obabilité Tunnel ∝ exp −
 E élec


.


Eq. IV.21
Le champ électrique ayant une composante intrinsèque à la jonction, et étant modulé par la tension
appliquée.
166
Chapitre IV
Etude des courants de fuite de jonction
Trois modèles principaux seront présentés dans ce chapitre : un modèle simplifié, mais insuffisant, le
modèle de Schenk et, enfin, le modèle de Hurkx.
IV.3.3.1 Le modèle simplifié
Dans ce modèle [Liou90] la génération par effet tunnel bande à bande direct est exprimée par :
 E
G TBBD = G 0 ⋅ E élec r ⋅ exp − 0
 E élec

 .

Eq. IV.22
Dans cette équation G0, E0 et r sont des paramètres ajustables. En particulier l’exposant r permet de
rendre cette génération plus ou moins dépendante du champ électrique. Une valeur de r comprise entre 1
et 2 (inclus) semble raisonnable.
Ce modèle a l’avantage d’être simple ; mais il ne traduit pas l’effet de l’épaisseur de la barrière, donc de la
variation de la bande interdite avec la température – voire, le cas échéant, avec la composition du
matériau.
IV.3.3.2 Le modèle de Schenk
Le modèle de Schenk [Schenk93] rend compte de la dépendance avec le champ électrique de la manière
suivante :
G TBBD ∝ E élec
7
2
 Cst 
 ;
⋅ exp −
 E élec 
Eq. IV.23
et de la température – outre via ni – par :
G TBBD ∝
1
1
+
,
 h⋅ω 
 h ⋅ω 
exp
 − 1 1 − exp −

k⋅T 
 k⋅T 
Eq. IV.24
h ⋅ ω étant l’énergie du phonon associé au transfert de l’électron d’une bande à l’autre.
Plus généralement, dans la zone de charge d’espace où n.p > ni2, on a :
G TBBD
 − −32
 Fc−
⋅ exp −
 Fc
7/ 2
 E élec

= G 0 ⋅ E élec ⋅ M ⋅

 h⋅ω
 −1
 exp
k
⋅
T



3

 Fc+
+− 2
 Fc
⋅ exp −
+
 E élec
 h⋅ω 
1 − exp −

 k⋅T 

 
 ,



Eq. IV.25
où les quantités M et FC sont données par :
M=
n ⋅ p − ni2
(n + n i ) ⋅ (p + n i )
et
(
)
Fc± = C ⋅ E g ± h ⋅ ω ,
Eq. IV.26
Eq. IV.27
respectivement.
167
Chapitre IV
Etude des courants de fuite de jonction
On retiendra que les quantités G0, C et h ⋅ ω (via ω) peuvent servir à calibrer les modèles.
IV.3.3.3 Le modèle de Hurkx
Le modèle de Hurkx [Hurkx92] traduit la génération nette par effet tunnel bande à bande direct de la
façon suivante :
G TBBD
p
 C ⋅ E g (T ) 3 2 
 E élec 
,
= G0 ⋅ M ⋅ 
 ⋅ exp −
3

2
 1 
 E g (T ) ⋅ E élec 
Eq. IV.28
le dénominateur 1 ayant une dimension de champ électrique, et M étant la même quantité que dans
l’équation Eq. IV.26.
Dans ce modèle trois paramètres sont ajustables : G0, C, et l’exposant p.
IV.3.4 La génération par effet tunnel bande à bande assisté par pièges
La modélisation de ce type de génération de porteurs est équivalente à celle de la génération Shockley
Read Hall, avec un terme supplémentaire faisant intervenir le champ électrique.
IV.3.4.1 Le modèle de Schenk
Dans le modèle de Schenk [Schenk92], ce terme est un facteur multiplicaif
1
appliqué au
1 + g (E élec )
temps de vie des porteurs de l’équation Eq. IV.20, qui devient donc :




τ max x − τ min x 
f (T )

τ x (N A + N D , T ) = τ min x +
⋅
.
γ 
1 + g (E élec )


N
+
N
D

 
1 +  A

N
ref

 
Eq. IV.29
g(Eélec) est une fonction du champ électrique faisant intervenir le niveau énergétique EP des pièges
impliqués.
IV.3.4.2 Le modèle de Hurkx
Dans ce modèle, le temps de vie des porteurs est modifié par le même facteur
1
, dont la
1 + g (E élec )
fonction g(Eélec) a cependant une expression différente. Mais une seconde différence non moins
importante consiste à modifier également la section efficace de capture σx des porteurs par les niveaux
profonds :
σ x = σ x 0 ⋅ [1 + g (E élec )] .
168
Eq. IV.30
Chapitre IV
Etude des courants de fuite de jonction
IV.4 La modélisation des niveaux profonds
Les niveaux profonds ont déjà été décrits dans le Chapitre III sur la DLTS (partie III.2). Nous allons
aborder ici quelques unes des méthodes existant pour les modéliser, et en tenir compte dans les modèles
de courants de fuites de jonction.
IV.4.1 Le type de piège
Deux catégories de niveaux profonds sont prises en compte dans les modèles : les pièges à électrons et
les pièges à trous. Pour chacun il est possible de spécifier si le piège est rempli de porteurs (piège donneur
pour les électrons et pièges accepteur pour les trous) ou vide de porteurs.
IV.4.2 La distribution énergétique
Plusieurs types de distribution énergétique peuvent être modélisés. On peut bien sûr spécifier un niveau
simple, localisé en énergie ; dans ce cas, la concentration NP de pièges (enprimée en cm-3) correspond à la
concentration constante donnée en entrée du simulateur, NP0.
On peut également spécifier d’autres distributions énergétiques, comme gaussienne, exponentielle, ou
arbitraire. Pour la gaussienne, la concentration est alors calculée à partir de la concentration du niveau
principal (NP0 à Ea0) et de l’écart – type de la fonction gaussienne σgauss :
 (E − E a 0 )2 
N P = N P 0 ⋅ exp −
,
2 
 2 ⋅ σ gauss 
Eq. IV.31
concentration qui s’exprime alors en cm-3.eV-1.
IV.4.3 La distribution spatiale
Dans le cas d’une distribution spatiale uniforme, la concentration en tout point est la concentration
initiale précisée :
N P (x, y , z ) = N P 0 .
Eq. IV.32
Dans le cas d’une distribution spatiale gaussienne, la concentration en un point {x, y, z} est calculée à
partir d’un point {x0, y0, z0} où la concentration est NP0 :
 (x − x 0 )2 (y − y 0 )2 (z − z 0 )2 
N P = N P 0 ⋅ exp −
−
−
,
2
2 ⋅ σy 2
2 ⋅ σ z 2 
 2 ⋅ σ x
Eq. IV.33
où σx, σy et σz sont les écarts-types de la fonction gaussienne pour la distribution spatiale.
IV.4.4 Capture et émission de porteurs
Dans notre cas, pour un matériau semiconducteur à gap indirect, le taux de capture d’un électron de la
bande de conduction par un piège à électron (EP – EV > Eg/2) est calculé par :
169
Chapitre IV
Etude des courants de fuite de jonction
c n = σ n ⋅ v th .n ⋅ n .
Eq. IV.34
L’expression est transposable à la capture des trous de la bande de valence par des pièges à trous.
L’expression du calcul du taux d’émission d’électrons du niveau profond vers la bande de
conduction est :
e n = σ n ⋅ v th .n ⋅
1
 E 
⋅ n i ⋅ exp P  ;
gn
k⋅T
Eq. IV.35
et pour l’émission des trous du piège vers la bande de valence :
e p = σ p ⋅ v th . p ⋅
1
 E 
⋅ n i ⋅ exp − P  ;
gp
 k⋅T
Eq. IV.36
avec σ la section efficace de capture des pièges, vth la vitesse thermique des porteurs, et g leur niveau de
dégénérescence.
La vitesse thermique dépend bien entendu de la température ; deux modèles existent pour la calculer :
v th .( n , p ) = v th 0.( n , p ) ⋅
T
,
300
Eq. IV.37
T étant exprimée en Kelvins, ou bien :
v th .( n , p ) =
3⋅k ⋅ T
.
m ( n ,p ) (300 K )
Eq. IV.38
La section efficace de capture peut enfin être modulée par le modèle de Hurkx, de la manière décrite au
paragraphe IV.3.4.2.
IV.4.5 Occupation des niveaux profonds
Nous allons dans ce paragraphe traiter les mécanismes correspondant aux pièges à électrons ; chacun
d’entre eux est transposable aux pièges à trous.
L’occupation des niveaux profonds résultant de ces captures et émissions est décrite par la probabilité
d’occupation Fn pour les pièges à électrons :
Fn =
cn
.
cn + en
Eq. IV.39
Cette formule est valable dans un état stationnaire, qui est d’ailleurs atteint lors de mesures de
caractéristiques courant – tension des jonctions (montée en tension progressive et relativement lente).
Pour le cas général, on a que :
∂Fn
= (1 − Fn ) ⋅ c n − Fn ⋅ e n .
∂t
Eq. IV.40
Si plusieurs mécanismes d’émission et de capture interviennent, cette expression devient alors :
∂Fn
= ∑ (1 − Fn ) ⋅ c ni − Fn ⋅ e ni = ∑ rni ,
∂t
i
i
170
Eq. IV.41
Chapitre IV
Etude des courants de fuite de jonction
avec
Fn =
∑c
i
∑ (c
ni
ni
+ e ni )
.
Eq. IV.42
i
Et en utilisant les formules du paragraphe IV.4.4, on retrouve l’expression Eq. IV.12 de la génération
nette Shockley Read Hall (voir paragraphe IV.3.2).
IV.5 Mesures
Les mesures que nous utiliserons au cours de cette étude ont été effectuées au cours du stage précédent
cette thèse, dans l’équipe « process integration » de l’Alliance Crolles2. Dans ce cadre, les mesures ont été
effectuées sur des diodes mimant les structures des transistors MOSFETs, puisqu’il s’agissait de regarder
les effets d’un « nouveau » procédé de fabrication (co-implantation) sur la jonction de ce dispositif.
Malheureusement il n’a pas été possible, sur les derniers mois de la thèse, d’effectuer de nouvelles
mesures sur des diodes planes, ce qui aurait éventuellement facilité l’interprétation, certes, mais surtout la
simulation de ces courants de fuites de jonction.
IV.5.1 Description des structures mesurées
Nous avons donc utilisé des structures Miller. Il s’agit d’un ensemble de transistors identiques en batterie,
connectés les uns à la suite des autres. Ces transistors peuvent avoir diverses dimensions ; en particulier,
la longueur et la largeur de canal peuvent varier – mais pas sur un même ensemble. La Figure IV.8
montre des représentations des structures Miller. Enfin la caractéristique principale de ces structures
repose sur la connection électrique reliant les source et drain de chaque transistor. La grille est portée à
une polarisation fixe. En mesurant le courant circulant entre le substrat et les source et drain en fonction
de la tension qu’on y applique, on caractérise ainsi la jonction globale du transistor. On mesure en
parallèle la jonction drain / substrat et la jonction extension / poche. Cette dernière étant la plus abrupte,
nous avons d’abord pensé qu’elle était principalement responsable des fuites mesurées. Les simulations
devraient nous permettre de confirmer ou d’infirmer cette hypothèse.
Le nombre de dispositifs ainsi caractérisés importe peu, pourvu qu’il soit connu. Le courant mesuré est
normalisé par unité de largeur de canal (Wcanal), ce qui permet une comparaison avec d’autres mesures
(dimensions différentes par exemple) ou avec des simulations (un seul dispositif).
171
Chapitre IV
Etude des courants de fuite de jonction
une zone active
STI
grille
de
poly
silicium
(a)
source
drain
Wcanal
Lgrillel
(b)
Figure IV.8. Schéma et photographies de microscope électronique à balayage de structures Miller larges (a) et
étroites (b).
IV.5.2 Description des procédés de fabrication des jonctions mesurées
L’idée est de comparer des jonctions dont les profils de dopants sont les plus proches possible, mais qui
présentent des densités différentes de défauts étendus dans les dispositifs. Un des cas qui s’approche le
plus de ces conditions est celui où l’on compare des jonctions obtenues avec et sans co-implantations.
Nous avons choisi un cas en particulier, pour lequel des analyses SIMS sur un procédé de fabrication
équivalent avaient été effectuées. Cela nous a permis de vérifier le calibrage de notre outil de simulation
de procédé.
Il s’agit donc d’un MOSFET de type n. La plaque de référence n’a subi aucune co-implantation. Les
poches sont implantées avec la molécule de BF2 (30 keV, 4x1013 cm-2, inclinaison 25°, rotation 45°), les
extensions à l’arsenic (1 keV, 6.5x1014 cm-2) ; puis, après la formation des espaceurs, les source et drain
sont implantés au phosphore (20 keV, 6x1013 cm-2, cette implantation à faible dose étant destinée à
« casser » la jonction pour la rendre moins aggressive) et à l’arsenic (20 keV, 2x1015 cm-2). Le recuit
d’activation consiste en un recuit rapide de type spike, atteignant une température de 1080°C.
La co-implantation, de fluor, était destinée à limiter la diffusion du bore des poches. Pour cela, deux
énergies ont été testées : à 15 keV, le fluor se trouve au niveau du parcours projeté du bore ; à 20 keV, il
se trouve enfoui plus profondément dans le canal. La dose de 1x1015 cm-2 de fluor a été implantée avec
une inclinaison de 25°, comme les poches ; dans ce cas, quatre implantations (du quart de la dose totale)
avec quatre angles de rotation différents ont été effectuées. Une implantation sans inclinaison a
également été essayée (à 15 keV), et l’effet de l’angle sur les fuites n’est pas inintéressant ; c’est ce que
nous allons voir maintenant.
IV.5.3 Résultats des mesures
172
Chapitre IV
Etude des courants de fuite de jonction
Courant de jonction (A.µm-1)
1.E-09
1.E-10
1.E-11
1.E-12
1.E-13
1.E-14
Référence
F 15keV_Inclinaison 0°
F 15keV_Inclinaison 25°
F 20keV_Inclinaison 25°
1.E-15
1.E-16
1.E-17
0
0.5
1
1.5
Tension appliquée au drain (V)
Figure IV.9. Courant de fuite (mesuré sortant du substrat) de la jonction polarisée en inverse (le drain n+ est
polarisé positivement, et le substrat p est à la masse), sur une structure Miller de type nMOS : influence de la
co-implantation.
Sur ces caractéristiques courant – tension de la jonction, on peut tirer moult informations. En premier
lieu, la co-implantation de fluor entraîne une augmentation du niveau de fuite, particulièrement aux
faibles tensions de polarisation. Cet effet est aggravé quand l’inclinaison d’implantation du fluor passe de
0° à 25°. Une augmentation supplémentaire des fuites de près d’une décade est obtenue en augmentant
l’énergie d’implantation (de 15 à 20 keV) du fluor.
On peut d’ores et déjà distinguer deux régimes de fuites de jonction, particulièrement discernables sur la
courbe avec fluor sans inclinaison : un régime aux faibles tensions, peu dépendant du champ électrique,
et le second régime apparaît à des tensions plus fortes, et semble augmenter plus brusquement avec la
polarisation inverse de la diode.
Si les mécanismes de génération Shockley Read Hall pour le régime aux basses tensions, et de génération
par effet tunnel bande à bande assisté par piège pour le régime aux tensions plus hautes, ont été retenus
au cours du stage précédent la thèse, ce sont les simulations qui, trois ans plus tard, devront trancher.
C’est là l’objet de la partie suivante.
IV.6 Simulations électriques
IV.6.1 Présentation de l’outil de simulation
Pour ces simulations électriques, nous avons utilisé le logiciel Sentaurus Device (SDevice) développé par la
société Synopsys. Cet outil est celui utilisé par les personnes de l’équipe au sein de laquelle j’ai commencé
ma thèse. Utiliser SDevice m’a donc permis donc de profiter de l’expérience de l’équipe… avant qu’elle
ne soit dissoute.
IV.6.2 Les différents modèles utilisés
173
Chapitre IV
Etude des courants de fuite de jonction
Dans SDevice, nous avons utilisé la modélisation de type dérive – diffusion pour le transport des
porteurs.
Comme les mesures, les simulations effectuées ne portent que sur une polarisation inverse de la jonction,
c'est-à-dire sur la fuite de celle-ci. Afin de faciliter notre étude orientée sur les fuites induites par les
niveaux profonds, nous nous sommes concentré sur le mécanisme de génération Shockley Read Hall
(SRH), prédominant aux faibles polarisations. Nous avons dans un premier temps comparé différents
modèles :
- le modèle de génération – recombinaison SRH décrit par l’équation Eq. IV.14, qui, bien que
dépendant implicitement de la présence de niveaux profonds, ne les modélise pas réellement ;
- le modèle d’occupation des niveaux profonds décrit dans les paragraphes IV.4.4 et IV.4.5, avec des
caractéristiques de pièges classiques : niveau simple, au milieu du gap, et section efficace de capture de
1x10-15 cm2, ou les caractéristiques préalablement déterminées à l’aide de la DLTS (Chapitre III).
Nous avons également activé les modèles prenant en compte l’influence des espèces dopantes ainsi que
celle de la température sur la durée de vie des porteurs, tous deux décrits dans le paragraphe IV.3.2.
IV.6.3 Introduction des pièges caractérisés dans les modèles
Les deux modèles cités ci-dessus font intervenir les niveaux profonds présents dans la zone de charge
d’espace de la jonction. Comme nous le verrons dans le paragraphe IV.6.4.1, la co-implantation entraîne
la formation – ou plus exactement la non dissolution – de boucles de dislocation pendant le procédé de
fabrication. Si ces boucles sont responsables de l’augmentation des fuites de jonction observées, elles ont
également été caractérisées par DLTS (voir Chapitre III). Les propriétés électriques et énergétiques tirées
de ces mesures doivent maintenant être prises en compte dans les modèles de courants de fuite afin d’en
tirer les meilleurs résultats possibles. Pour faciliter les calculs, la distribution énergétique (gaussienne) est,
dans les modèles de SDevice utilisés, discrétisée en 15 niveaux simples autour du niveau principal –
nombre qui peut être changé.
Nous utiliserons donc des paramètres basés sur les résultats des analyses DLTS du Chapitre III.
IV.6.4 Résultats des simulations de fuite de jonction
IV.6.4.1 Résultats de simulation des procédés de fabrication
IV.6.4.1.1 Diffusion des dopants
Pour simuler la diffusion des dopants et l’obtention des profils de la jonction après recuit, nous avons
décidé d’utiliser les simulations continues, avec l’outil de simulation proposé par Synopsys, Sentaurus
Process (SProcess). La diffusion des extensions d’arsenic et des poches de bore (procédé décrit dans le
paragraphe IV.5.2) sur pleine plaque a été caractérisée par SIMS ; les profils en conditions équivalentes
obtenus par simulation correspondent très bien aux mesures. Les résultats pour la plaque servant de
référence, c'est-à-dire n’ayant subi aucune co-implantation, sont présentés sur la Figure IV.10.
174
Chapitre IV
Etude des courants de fuite de jonction
L’exemple comprenant la co-implantation au fluor ayant été étudié par SIMS correspondait à l’énergie de
15 keV, sans inclinaison. On voit sur la Figure IV.11 que, sans être parfaits, les résultats sont tout à fait
satisfaisants.
Concentration (cm-3)
1.E+22
SIMS_As_Recuit
1.E+21
SIMS_B_Recuit
1.E+20
Simul_As_Recuit
Simul_B_Recuit
1.E+19
1.E+18
1.E+17
0
50
100
Profondeur (nm)
150
Figure IV.10. Comparaison des profils de concentration de bore et d’arsenic obtenus par SIMS et par
simulation continue, sans co-implantation (référence).
Concentration (cm-3)
1.E+22
SIMS_As_Recuit
1.E+21
SIMS_B_Recuit
1.E+20
Simul_As_Recuit
Simul_B_Recuit
1.E+19
1.E+18
1.E+17
0
50
100
Profondeur (nm)
150
Figure IV.11. Comparaison des profils de concentration de bore et d’arsenic obtenus par SIMS et par simulation
continue, avec une co-implantation de fluor à 15 keV, sans inclinaison.
Les profils de dopage obtenus sur une demi-structure de type MOSFET (le MOSFET ayant un plan de
symétrie au centre du canal) sont présentés sur la Figure IV.12.
175
Chapitre IV
Etude des courants de fuite de jonction
Figure IV.12. Résultats de simulations de dopage avec les simulations continues de SProcess : (a) référence,
sans co-implantation ; (b) avec fluor 15 keV, sans inclinaison ; (c) avec fluor, 15 keV, inclinaison 25° ; (d) avec
fluor, 20 keV, inclinaison 25°. La co-implantation de fluor a pour effet général de limiter la profondeur de jonction
des extensions, et d’augmenter la diffusion du phosphore dans le fond des source et drain (zone de fin de
parcours du fluor).
IV.6.4.1.2 Evolution des défauts étendus
Nous allons présenter dans ce paragraphe les résultats que les simulations atomistiques nous donnent
pour l’évolution des défauts étendus, pour les quatre conditions de procédés caractérisées électriquement.
La Figure IV.13 montre tout d’abord la structure finale obtenue pour la condition de référence (pas de
co-implantation), avec les limites approximatives des régions de l’extension et de la source / du drain,
ainsi que la grille et l’espaceurs.
demi-grille
espaceur
extension
source / drain
Figure IV.13. Structure obtenue par simulation atomistique.
La Figure IV.14 montre ensuite la zone potentiellement riche en défauts étendus, pour une comparaison
entre la référence et la condition avec co-implantation de fluor à 15 keV, sans inclinaison. Il s’agit des
résultats après le recuit rapide spike à 1080°C. L’ajout d’une implantation de fluor en même temps que les
extensions et les poches augmente l’accumulation de défauts au cours des implantations. Ces défauts
s’agglomèrent et forment des boucles de dislocation pendant le recuit spike, qui ne présente alors pas un
budget thermique assez élevé pour les dissoudre toutes (alors que c’était le cas pour la référence). Ces
boucles de dislocations qui « résistent » au recuit se situent dans la région de fin de parcours des source et
drain d’arsenic, dans laquelle se superposent les défauts de fin de parcours de l’arsenic et les défauts du
fluor, sans pour autant amorphiser profondément le substrat.
176
Chapitre IV
Etude des courants de fuite de jonction
(a)
(b)
Figure IV.14. (a) Sans co-implantation (référence), il ne reste pas de défauts étendus après le recuit d’activation.
(b) Quand une co-implantation de fluor est effectuée (15 keV, 1x1015 cm-2, pas d’inclinaison), subsistent en
revanche des boucles de dislocations.
La Figure IV.15 montre une comparaison des simulations avec co-implantation, l’une sans inclinaison et
la seconde avec une inclinaison de 25°. Dans ce dernier cas, les boucles formées sont plus petites, car
l’implantation en quatre fois avec des angles de rotation différents mène à une accumulation de défauts
moins importante dans la zone de fin de parcours du fluor. La profondeur globale des défauts n’est pas
modifiée, sauf pour un défaut étendu : il est statistiquement moins probable que des boucles de
dislocations, suffisamment grosses pour ne pas être dissoutes pendant le recuit spike, se forment à cette
profondeur, car la densité de défauts est moins importante (on est plus loin de l’interface amorphe –
cristal initiale) ; mais c’est tout à fait possible.
(a)
(b)
Figure IV.15. Boucles de dislocation subsistant après le recuit, pour une co-implantation de fluor à 15 keV sans
inclinaison (a) et avec une inclinaison de 25°, en quatre fois (b).
Quand on augmente l’énergie du fluor (Figure IV.16), passant de 15 keV à 20 keV, et avec inclinaison
dans les deux cas, on augmente la quantité totale de défauts présents dans la région de fin de parcours
des source / drain. Cela est dû au fait que les défauts générés par l’implantation de fluor se trouvent plus
en profondeur, et donc sont moins superposés à la région amorphe créée par l’implantation des source /
drain. Ainsi plus de défauts s’accumulent au-delà de l’interface amorphe – cristal, et les défauts étendus
sont plus nombreux ou / et plus gros après le recuit. Ils sont en outre positionnés, en moyenne, plus
profondément.
177
Chapitre IV
Etude des courants de fuite de jonction
(a)
(b)
Figure IV.16. Boucles de dislocation subsistant après le recuit, pour une co-implantation de fluor à 15 keV (a) et
à 20 keV (b), inclinées.
IV.6.4.2 Simulations électriques
Les structures simulées sont celles présentées dans le paragraphe précédent. On profite du plan de
symétrie des MOSFETs (milieu du canal) pour n’en simuler que la moitié (gain de temps). Les structures
simulées sont bidimensionnelles, ce qui revient à considérer une largeur de canal infinie : c’est une bonne
approximation, car les largeurs de canal sont en général bien plus grandes que les longueurs de grille.
Les différents essais que nous avons effectués utilisent tous des profils de jonctions obtenus sans coimplantation, et sont présentés ci-dessous.
IV.6.4.2.1 Sur les modèles de génération recombinaison
Nous allons commencer comparer les différents modèles à notre disposition :
- le modèle de génération SRH sans spécification des caractéristiques des pièges ;
- le modèle de pièges, avec des spécifications « classiques » (niveau simple, au milieu du gap, et section
efficace de capture de 1x10-15 cm2), et une concentration uniforme de 1x1019 cm-3.
- le modèle de pièges, en spécifiant les caractéristiques obtenues par analyse DLTS (pièges à trous,
niveau principal à EV + 0.287 eV, répartition énergétique en gaussienne d’écart-type 0.029 meV,
section efficace de capture de 1.22x10-14 cm2), de concentration uniforme de 1x1019 cm-3.
Les résultats sont présentés sur la Figure IV.17. Dans le cas des deux derniers modèles, la concentration
choisie est de Comme attendu, les modèle « SRH » et le modèle d’occupation des pièges « classiques »
donnent chacun une courbe de même forme, bien que différant de quelques décades sur la valeur en une
tension donnée. Cela est dû à la différence de concentrations des niveaux profonds dans les deux
modèles, car celle-ci est prise en compte implicitement dans la durée de vie des porteurs pour le modèle
SRH (voir Eq. IV.13 et Eq. IV.14), alors qu’elle est explicitement précisée quand on définit
« manuellement » ces niveaux profonds. Par ailleurs, à concentration de niveaux profonds égale, la
génération de porteurs est beaucoup plus efficace quand les pièges sont situés au milieu du gap. La plus
haute barrière énergétique à franchir est alors de 0.56 eV, contre 0.83 eV pour les pièges générés par les
boucles de dislocation (valeur basée sur le niveau central de la gaussienne).
178
Chapitre IV
Etude des courants de fuite de jonction
1.E-09
Courant (A.µm-1)
1.E-10
1.E-11
SRH
1.E-12
Pièges "classiques"
Pièges "DLTS"
1.E-13
1.E-14
1.E-15
1.E-16
1.E-17
1.E-18
0
0.5
1
1.5
Tension (V)
Figure IV.17. Comparaison des courants de fuite de jonction obtenues avec trois modèles différents : le modèle
SRH, le modèle définissant explicitement des pièges « classiques », et le modèle de pièges utilisant les
caractéristiques déterminées par DLTS.
IV.6.4.2.2 Sur la localisation spatiale des niveaux profonds
L’effet de diverses localisations des niveaux profonds a ensuite été étudié, et comparé au courant obtenu
avec une concentration uniforme. Pour les pièges spatialement localisés, une distribution gaussienne
d’écart-type 3 nm a été choisie, correspondant à peu près à la distribution attendue d’une boucle de
dislocation. Nous avons choisi une concentration de 1x1021 cm-3, correspondant à la concentration
maximale (centre de la gaussienne spatiale, et niveau principal). Le modèle retenu a été celui explicitant
les caractéristiques des pièges, obtenues en outre par DLTS. Six différentes localisations ont été choisies,
en partie inspirée des résultats de simulation atomistiques des procédés (voir Figure IV.18).
A
B
C
E
D
F
Figure IV.18. Réprésentation des six localisations choisies pour les niveaux profonds.
La Figure IV.19 résume les résultats obtenus. D’abord, des pièges répartis uniformément donnent un
courant plus fort : c’est parce que la quantité totale de niveaux profonds est plus importante. Ensuite, les
localisations D et F donnent des courants de fuite nuls (bruit numérique). Cela confirme, comme nous
l’avons déjà évoqué, que seuls les pièges situés dans la zone de charge d’espace participent aux fuites de
jonction. Quand aux localisations A, B, C et E, elles donnent toutes des courants sensiblement
179
Chapitre IV
Etude des courants de fuite de jonction
équivalents. En conclusion, la localisation des pièges n’a aucune influence sur les courants de fuites de
jonction, tant qu’ils se trouvent dans la zone de charge d’espace.
1.E-12
1.E-13
Uniforme
C
F
Courant (A.µm-1)
1.E-14
1.E-15
A
D
B
E
1.E-16
1.E-17
1.E-18
1.E-19
1.E-20
0
0.2
0.4
0.6
0.8
1
1.2
1.4
1.6
Tension (V)
Figure IV.19. Effet de la localisation des niveax profonds sur les courants de fuite de jonction.
IV.6.4.2.3 Sur la concentration des niveaux profonds
L’effet de la concentration a ensuité été testé, avec le même modèle que précédemment, pour une
localisation en C (voir Figure IV.18), c'est-à-dire dans la zone de charge d’espace, et à la profondeur
indiquée par les simulations atomistiques.
Chaque augmentation d’une décade de la concentration de pièges mène à une augmentation d’une
décade du niveau de courant de fuite, comme on le voit sur la Figure IV.20. A noter que pour une
concentration de 1x1016 cm-3 ou en deçà, le niveau de courant ne dépasse pas le bruit numérique.
1.E-12
1.E-13
Np=1E22 cm-3
Np=1E19 cm-3
Np=1E16 cm-3
Np=1E21 cm-3
Np=1E12 cm-3
Courant (A.µm-1)
1.E-14
1.E-15
1.E-16
1.E-17
1.E-18
1.E-19
1.E-20
1.E-21
1.E-22
0
0.2
0.4
0.6
0.8
1
1.2
1.4
1.6
Tension (V)
Figure IV.20. Effet de la concentration de pièges sur le niveau de fuite de la jonction.
IV.6.4.2.4 Sur la symétrie des niveaux profonds
Comme nous l’avions déjà évoqué dans le paragraphe III.6, les niveaux profonds générés par des défauts
étendus, et plus généralement par des dislocations d’ailleurs, sont probablement « neutres », c'est-à-dire
qu’ils sont à la fois pièges à trous ou pièges à électrons – selon qu’ils se trouvent dans une zone où les
trous ou les électrons sont majoritaires. Le niveau principal se trouverait, dans ce cas, à 0.287 eV sous le
bas de la bande de conduction. Les autres caractéristiques (écart-type énergétique, distribution spatiale,
etc…) sont conservées, du moins dans une première approximation.
Les résultats sont présentés sur la Figure IV.21. Les pièges à électrons provoquent un courant de fuite
légèrement plus faible que les pièges à trous, car ils sont localisés dans la partie de la zone de déplétion
180
Chapitre IV
Etude des courants de fuite de jonction
qui s’étend du côté des poches (type p, localisation C sur la Figure IV.18). Introduire les deux types de
pièges conduit à une sommation des courants dus à chacune des composantes.
Courant (A.µm-1)
1.E-15
Piège à électrons et pièges à trous
Piège à électrons
Pièges à trous
1.E-16
0
0.2
0.4
0.6
0.8
1
1.2
1.4
1.6
Tension (V)
Figure IV.21. Effet de la « symétrie » des niveaux profonds introduits par les boucles de dislocation.
IV.7 Conclusion sur les simulations de fuites de jonction
181
Conclusion
CONCLUSION : RESULTAT GENERAL DE LA THESE
Simulation prédictive de la fuite de jonction en fonction des étapes du procédé de fabrication (…)
Perspectives : voir aussi l’ITRS 2007, section Front-End Processing.
182
Annexes
ANNEXES
Annexe A : Des recuits chauds et courts
Depuis plusieurs années la diffusion accélérée et transitoire des dopants – et en particulier du bore – est
un défi posé pour le développement des jonctions ultrafines. Pour en limiter les effets au maximum, la
tendance a longtemps été à des recuits plus chauds mais plus courts (850°C / 10 minutes pour
[Ando90] ; 1000°C / 15 secondes pour [Hossain95] ; 1050°C / pas de plateau pour [AlBayati00]).
Quelle en est la raison ?
Depuis toutes ces années, il est maintenant clairement admis que la diffusion anormale des dopants était
causée par la sursaturation des interstitiels au cours du ou des recuits d’activation. Cette sursaturation est
due à l’introduction d’un excès d’interstitiels par l’implantation ionique, et est ensuite entretenue par la
présence de défauts étendus – en particulier les défauts {311}.
D’abord la concentration d’interstitiels en excès produite par une implantation non-amorphisante
dépend uniquement de la dose d’impureté introduite [Jaraíz96]. La concentration d’interstitiels à
l’équilibre augmente en outre avec la température (voir paragraphe I.1.2.3.1). C’est pourquoi la
sursaturation, pour une condition d’implantations donnée, sera diminuée si la température de recuit
augmente.
Ensuite il faut avoir en tête que plus la température de recuit est élevée, plaus la transformation
structurale des défauts {311} en boucles de dislocation sera aisée (voir paragraphe II.2.1.3.2.1.2). Pour
une taille de défaut donnée (quantité d’interstitiels), une boucle de dislocation est plus stable qu’un défaut
{311}, et la sursaturation induite sera plus faible qu’avec le défaut {311}. C’est la deuxième raison pour
laquelle un recuit plus chaud entraînera une sursaturation moins importante.
Certes une température de recuit plus élevée favorise la diffusion normale des dopants, en augmentant le
coefficient de diffusion d’une part et la concentraion d’interstitiels d’autre part. Mais en réduisant le
temps de recuit et donc le budget thermique, on arrive cependant à diminuer, logiquement, la diffusion
pour une température donnée ; cela est d’autant plus vrai que la diffusion anormale est limitée (par
rapport à la diffusion normale), et donc que la température du recuit est élevée. Avec un recuit chaud et
court, la diffusion des dopants est mieux contrôlée.
En outre, une augmentation de la température de recuit favorise un niveau d’activation élevé
[Mokhberi02].
183
Annexes
C’est pourquoi l’utilisation de recuits chauds et courts permet de mieux contrôler la fabrication de
jonctions toujours plus fines, et d’améliorer le compromis Rs/Xj de celles-ci.
Annexe B : Caractérisations physiques
B.1
L’obtention de profils de concentration par analyse SIMS
La technique du SIMS (de l’anglais Secondary Ion Mass Spectroscopy, qui signifie spectroscopie de masse
des ions secondaires) permet d’obtenir des profils de concentration en profondeur d’une espèce donnée.
Un faisceau d’ions primaires est focalisé sur l’échantillon à analyser. Les ions les plus couramment utilisés
sont le Cs+ et le O2+ ; leur énergie varie de quelques centaines à quelques milliers d’électronvolts selon les
conditions d’analyse. Le faisceau est incliné par rapport à la surface de l’échantillon (voir Figure B.1). Il
ionise et expulse ainsi les atomes de la surface de la cible, qui sont appelés les ions secondaires.
Ces ions secondaires sont accélérés, triés selon leur masse et comptés en fonction du temps.
Le temps total d’analyse et la profondeur du cratère (mesurée subséquemment) donnent ensemble la
vitesse d’attaque du faisceau, d’où l’on pourra déduire le nombre d’atomes présents en fonction de la
profondeur.
Pour obtenir une concentration, il est nécessaire d’analyser dans les mêmes conditions un échantillon
étalon, dont le (ou les) concentration(s) est (sont) parfaitement connue(s). Ce travail de calibrage est
nécessaire, parce que la surface du cratère n’est pas parfaitement connue, certes, mais surtout parce que
tous les atomes éjectés ne sont pas ionisés : seule une certaine proportion d’entre eux est donc détectée.
Faisceau d’ions
primaires
Ions secondaires
expulsés
Figure B.1. Interaction du faisceau d’ions primaires avec l’échantillon à analyser, et création des ions
secondaires.
B.2
L’observation des défauts étendus par microscopie électronique à
transmission
184
Annexes
Nous allons ici aborder extrêmement brièvement cette technique de caractérisation : après un rappel de
la loi de Bragg, nous verrons pourquoi les conditions de champ sombre et faisceau faible sont adaptées à
l’observation des défauts étendus.
La loi de Bragg est satisfaite quand :
2 ⋅ d hkl ⋅ sin θ = n ⋅ λ ,
Eq. B.1
où λ est la longeur d’onde des électrons du faisceau, n est un entier, θ est l’angle entre le faisceau
d’électron et la normale aux plans {hkl}, et dhkl est la distance séparant les différents plans de la famille
{hkl}.
Si l’orientation du cristal est telle que seulement une famille de plans peut satisfaire la loi de Bragg, on
trouve après le passage à travers l’échantillon deux faisceaux distincts : le faisceau transmis et le faisceau
diffracté. Pour l’observation, on place alors un diaphragme :
- si le faisceau transmis est sélectionné, les plans faisant diffracter les électrons vont apparaître clairs, sur
un fond sombre (le reste de l’échantillon) : c’est la condition de champ sombre ;
- si l’on sélectionne au contraire le faisceau diffracté, seuls les plans diffractant vont apparaître clairs, sur
un fond sombre : c’est donc la condition de champ sombre. Le contraste est en général mieux marqué
en champ sombre qu’en champ clair.
Par ailleurs on parle de faisceau faible lorsqu’on se place dans une condition (orientation du cristal) loin
de la condition de Bragg. Ainsi seules les zones très distordues du réseau, donc proches du cœur du
défaut, apparaissent claires sur un fond sombre (en champ sombre) ou sombres sur un fond brillant
(champ clair).
La combinaison de ces deux conditions : champ sombre et faisceau faible, est donc probablement la
mieux adaptée pour la détection, l’observation et l’analyse d’une population de défauts étendus dans un
cristal.
Annexe C : Paramètres des implanteurs
C.1
Calcul des paramètres de la commande « SetImplanterSpecs »
Dans le cas de l’implanteur monoplaque, trois paramètres doivent être calculés (voir le paragraphe
II.2.2.3.3sur la nouvelle commande).
La durée d’une impulsion timpulsion est le rapport entre la largeur du faisceau lfaisceau(Mono) et la vitesse de
balayage Vbalayage(Mono) de la plaque :
t impulsion =
l faisceau (Mono )
.
Vbalayage (Mono )
Eq. C.1
Le nombre de cascades implantées à chaque impulsion Ncasc/impulsion est :
185
Annexes
N casc / impulsion =
N casc (tot )
,
N balayages
Eq. C.2
Nbalayages étant le nombre de balayages effectués par l’implanteur, et Ncasc(tot) étant le nombre total de
cascades simulées, soit le produit de la dose désirée par la surface simulée Surfsimul :
N casc (tot ) = Dose ⋅ Surfsimul .
Eq. C.3
Enfin, le temps entre deux impulsions est calculé par :
∆t impulsion (Mono ) =
D scan (Mono ) − l faisceau (Mono )
.
Vbalayage (Mono )
Eq. C.4
Dans le cas de l’implanteur multiplaques, nous aurons besoin de calculer les cinq paramètres cités dans le
paragraphe II.2.2.3.3sur la nouvelle commande.
La durée timpulsion d’une impulsion sera :
t impulsion =
D faisceau (Multi )
,
Vfaisceau / plaque
Eq. C.5
où Vfaisceau/plaque est la vitesse du faisceau par rapport à la plaque ; le faisceau étant immobile, cette vitesse
est aussi la vitesse linéaire de la plaque Vlin(plaque) :
Vfaisceau / plaque = Vlin (plaque ) = π ⋅ D roue (Multi ) ⋅ Vrotation (Multi ) .
Eq. C.6
Par ailleurs le temps entre deux impulsions ∆timpulsion se calcule ainsi :
∆t impulsion =
π ⋅ D roue (Multi ) − D faisceau (Multi )
.
Vfaisceau / plaque
Eq. C.7
Puis le temps entre deux rafales s’exprime par :
∆t rafale =
D balayage (Multi ) − D faisceau (Multi )
Vbalayage (Multi )
.
Eq. C.8
Le nombre d’impulsions par rafale est donné par :
N impulsions / rafale =
t rafale
t révolution
,
Eq. C.9
où trafale et trévolution sont les durées d’une rafale et d’une révolution de la roue, respectivement, et dont les
calculs sont donnés ci-après :
t révolution = t impulsion + ∆t impulsion ;
t rafale =
D faisceau (Multi )
.
Vbalayage (Multi )
Eq. C.10
Eq. C.11
Le nombre de cascades par impulsion sera enfin :
N casc / impulsion =
N casc (tot )
,
N impulsions (tot )
Eq. C.12
où Ncasc(tot) est le nombre total de cascades simulées, et le nombre total d’impulsions Nimpulsion(tot) a pour
expression :
186
Annexes
N impulsion (tot ) = N impulsions / rafale ⋅ N rafales ;
Eq. C.13
avec enfin le nombre de rafales Nrafales :
N rafales =
C.2
t implant (tot )
t rafale + ∆t rafale
.
Eq.C.14
Justification des fourchettes de valeurs choisies pour les paramètres des
implanteurs
(i) Implanteur multiplaques :
Pour une vitesse de balayage trop faible (< 1 cm.s-1), le nombre d’impulsions par rafale devient
relativement grand, et le nombre de rafales (balayages) devient faible (une ou deux). Or pour des
raisons d’uniformité, il est plus sensé d’effectuer plusieurs balayages plutôt que trop peu. C’est
l’observation de la roue pendant une implantation qui donne en revanche une idée de la borne
supérieure : à 30 cm.s-1, la roue effectue un balayage par seconde, ce qui est sans doute un maximum.
La vitesse de rotation est en général fixée pour une machine donnée. Toujours pour des raisons
d’uniformité, la valeur de la vitesse de rotation ne descendra pas sous 1 tour par seconde. Par ailleurs,
les bras de la roue, et les plaques bien sûr, doivent résister aux forces centrifuges. En outre, des
études ont montré que les dispositifs pouvaient souffrir d’une vitesse de rotation trop importante : le
choc de particules (immobiles, elles) présentes dans la chambre sur les empilements de grille (lancés à
pleine vitesse à cause de la rotation) peut mener à la rupture des lignes de polysilicium [Pipes04,
Schmeide06]. C’est pourquoi une limite (déjà probablement trop élevée) a été fixée à 35 tour.s-1.
Le diamètre du faisceau est une grandeur très difficile à déterminer… Des expériences menées en
interne (CEA-LETI) montrent que le silicium de la plaque fond sur un disque d’environ 10 cm de
diamètre, quand le faisceau est immobilisé sur la plaque… mais cela dépend beaucoup des conditions
d’implantation (énergie, nature de l’ion, etc…) !
(ii) Implanteur monoplaque :
La vitesse de balayage a été choisie entre 10 et 40 cm.s-1. Ce sont des observations des
mouvements de la plaque pendant l’implantation qui ont conduit à considérer cette fourchette…
avec toutes les incertitudes qui pouvaient y être liées.
La largeur du faisceau est, encore une fois, peu commode à déterminer. Un choix de 1 à 10 cm
semble cependant cohérent avec les courants mesurés.
187
Références
REFERENCES
[Aboy06] : ABOY M., PELAZ L., LÓPEZ P., MARQUÉS L. A., DUFFY R., VENEZIA V. C.
Physical insight into boron activation and redistribution during annealing after low-temperature solid
phase epitaxial regrowth. Appl. Phys. Lett., 2006, vol. 88, p. 191917.
[AlBayati00] : Al-Bayati A., Tandon S., Doherty R., Murrell A., Wagner D., Foad M., Adibi B.,
Mickevicius R., Menisilenko V., Simeonov S., Jain A., Sing D., Ferguson C., Murto R., Larson L.
Junction Profiles of Sub keV Ion Implantation for Deep Sub-quarter Micron Devices. In : Proc. of the
Internat. Conf. on Ion Impl. Technol., 2000, pp. 87-90.
[Allain92] : ALLAIN J. L., BOURRET A., REGNARD J. R., ARMIGLIATO A. X-Ray Absorption
Study of the Atomic Environment of Sb+ and Sb+/B+ Implanted Silicon. Appl. Phys. Lett., 1992, vol. 61,
pp. 264-266.
[Ando90] : ANDO S., HORIE H., IMAI M., OIKAWA K., KATO H., ISHIWARI H., HIJIYA S.
Very shallow p+-n junctions and subquarter micron gate p-channel MOSFETs. Symposium on VLSI
Technol., 1990, Honolulu HI. Digest of Technical Papers, 1990, pp. 65-66.
[Ayres92] : AYRES J. R., BROTHERTON S. D. Electrically active defects in deep preamorphized
single-crystal silicon layers. J. Appl. Phys., 1992, vol. 71, n°. 6, pp. 2702-2709.
[Aziz97] : AZIZ M. J. Thermodynamics of diffusion under pressure and stress: Relation to point defect
mechanisms. Appl. Phys. Lett., 1997, vol. 70, n°. 21, pp. 2810-2812.
[Aziz01] : AZIZ M. J. Stress effects on defects and dopant diffusion in Si. Mat. Sc. in Semicon.
Processing, 2001, vol. 4, pp. 397-403.
[Bonafos97] : BONAFOS C., CLAVERIE A., ALQUIER D., BERGAUD C., MARTINEZ A.,
LAANAB L., MATHIOT D. The effects of the boron doping level on the thermal behaviour of end-ofrange defects in silicon. Appl. Phys. Lett., 1997, vol. 71, n°. 3, pp. 365-367.
[Boucard03] : BOUCARD F. Modélisation de la diffusion des dopants dans le silicium pour la
réalisation de jonctions fines. Thèse Microélectronique. Strasbourg : Université Louis Pasteur –
Strasbourg I, 2003, 197 p.
[Boucard05] : BOUCARD F., ROGER F., CHAKAROV I., ZHUK V., TEMKIN M.,
MONTAGNER X., GUICHARD E., MATHIOT D. A comprehensive solution for simulating ultrashallow junctions: From high dose/low energy implant to diffusion annealing. Mat. Sc. and Eng. B,
2005, vol. 124-125, pp. 409-414.
188
Références
[Cagnat06] : CAGNAT N., LAVIRON C., AURIAC N., LIU J., MEHTA S., FRIOULAUD L.,
MATHIOT D. Defect behaviour in BF2 implants for S/D applications as a function of ion beam
characteristics. In : Proc. of the Internat. Conf. on Ion Impl. Technol., 2006, vol. 866, pp. 133-136.
[Cagnat08] : CAGNAT N. Implantation ionique et jonctions ultrafines : Caractérisation, ingénierie des
défauts et application aux technologies 65 et 45 nm. Thèse Microélectronique. Grenoble : Université
Louis Pasteur – Strasbourg I, 2008, 159 p. (version provisoire).
[Calvo04] : CALVO P. Evolution cinétique des défauts {113} en cours de recuit thermique de silicium
implanté : influence sur la diffusion des dopants. Thèse Matériaux, Technologies, et Composants de
l’Electronique. Toulouse : Université Paul Sabatier, 2004, 146 p.
[CamilloCastillo04] : CAMILLO-CASTILLO R. A., LAW M. E., JONES K. S. Impact of dopant
profiles on the end of range defects for low energy germanium preamorphized silicon. Mat. Sc. and Eng.
B, 2004, vol. 114-115, pp. 312-317.
[Castrillo05] : CASTRILLO P., MARTIN-BRAGADO I., PINACHO R., JARAÍZ M., RUBIO J. E.,
MOK K. R. C., MIGUEL-HERRERO F. J., BARBOLLA J. Physically based modeling of dislocation
loops in ion implantation processing in silicon. Mat. Sc. and Eng. B, 2005, vol. 124-125, pp. 404-408.
[Castrillo07] : CASTRILLO P., PINACHO R., RUBIO J. E., VEGA L. M., JARAÍZ M. Atomistic
Modeling of Defect Diffusion in SiGe. In : Proc. of the Internat. Conf. on SISPAD, 2007, pp. 9-12.
[Castrillo08] : CASTRILLO P., PINACHO R., JARAÍZ M., RUBIO J. E., SINGER J. The use of
extended defect dissolution as a probe for stress-induced interstitial diffusion anisotropy. In : Proc. of
the Eur. Mat. Res. Soc. Conf., 2008. Accepté pour publication.
[Chadi88] : CHADI D. J., CHANG K. J. Magic numbers for vacancy aggregation in crystalline Si. Phys.
Rev. B, 1988, vol. 38, p. 1523.
[Chadi97] : CHADI D. J., CITRIN P. H., PARK C. H., ADLER D. L., MARCUS M. A.,
GOSSMANN H.-J. Fermi-Level-Pinning Defects in Highly n-Doped Silicon. Phys. Rev. Lett., 1997, vol.
79, n°. 24, pp. 4834-4837.
[Cherkashin04] : CHERKASHIN N., CALVO P., CRISTIANO F., DE MAUDUIT B., CLAVERIE
A. On the “Life” of {113} Defects. In : Proc. of the Mat. Res. Soc. Conf., 2004, vol. 810, p. C3.7.1.
[Claverie99] : CLAVERIE A., COLOMBEAU B., BEN ASSAYAG G., BONAFOS C., CRISTIANO
F., OMRI M., DE MAUDUIT B. Thermal evolution of extended defects in implanted Si: impact on
dopant diffusion. Mat. Sc. in Semicon. Processing, 2000, vol. 3, pp. 269-277.
[Claverie01] : CLAVERIE A., COLOMBEAU B., CRISTIANO F., ALTIBELLI A, BONAFOS C.
Atomistic simulations of extrinsic defects evolution and transient enhanced diffusion in silicon. In : Proc.
of the Mat. Res. Soc. Conf., 2001, vol. 669, p. J9.4.1.
[Colombeau03] : COLOMBEAU B., COWERN N. E. B., CRISTIANO F., CALVO P.,
CHERKASHIN N., LAMRANI Y., CLAVERIE, A. Time evolution of the depth profile of {113}
defects during transient enhanced diffusion in silicon. Appl. Phys. Lett., 2003, vol. 83, n°. 10, pp. 19531955.
189
Références
[Cowern99a] : COWERN, N. E. B., MANNINO G., STOLK P. A., ROOZEBOOM F., HUIZING
H. G. A., VAN BERKUM J. G. M., CRISTIANO F., CLAVERIE A., JARAÍZ M. Energetics of SelfInterstitials Clusters in Si. Phys. Rev. Lett., 1999, vol. 82, n°. 22, pp. 4460-4463.
[Cowern99b] : COWERN, N. E. B., ALQUIER D., OMRI M., CLAVERIE A., NEJIM A. Transient
enhanced diffusion in preamorphized silicon: the role of the surface. Nucl. Instr. and Meth. in Phys. Res.
B, 1999, vol. 148, pp. 257-261.
[Cowern99c] : COWERN N. E. B., JARAÍZ M., CRISTIANO F., CLAVERIE A., MANNINO G.
Fundamental Diffusion Issues for Deep-Submicron Device Processing. In : Proc. of the IEDM, 1999,
pp. 333-336.
[Dennis76] : DENNIS J. R., HALE E. B. Energy dependence of amorphizing implant dose in silicon.
Appl. Phys. Lett., 1976, vol. 29, n°. 9, pp. 523-524.
[Denteneer85] : DENTENEER P. J. H., VAN HAERINGEN W. The pseudopotential-densityfunctional method in momentum space: details and test cases. J. Phys. C, 1985, vol. 18, pp. 4127-4142.
[DeWalle88] : DE WALLE C. G. V., MCFEELY F. R., PANTELIDES S. T. Fluorine-silicon reactions
and the etching of crystalline silicon. Phys. Rev. Lett., 1988, vol. 61, n°. 16, pp. 1867-1870.
[Dowsett02] : DOWSETT M. G., AL-HARTHI S. H., ORMSBY T. J., GUZMÁN B., GARD F. S.,
NOAKES T. C. Q., BAILEY P., MCCONVILLE C. F. Establishing an accurate depth-scale calibration
in the top few nanometers of an ultrashallow implant profile. Phys. Rev. B, 2002, vol. 65, p. 113412.
[Duffy03] : DUFFY R., HERINGA A. The study of reverse biased leakage in n+/p diodes, and the
application to advanced CMOS technologies. Philips Research Technical Note: PR-TN-2003/00412.
Leuven: Koninklijke Philips Electronics, 2003, 77 p. Soumis à confidentialité.
[Duffy06] : DUFFY R., VENEZIA V., HOPSTAKEN M., MAAS G., DAO T., TAMMINGA Y.,
ROOZEBOOM F., VAN DER TAK K. Impurity Solubility and Redistribution Due to Recrystallisation
of Preamorphised Silicon. In : Proc. of the Mat. Res. Soc. Conf., 2006, vol. 912, p. C04-01.
[Eaglesham94] : EAGLESHAM D. J., STOLK P. A., GOSSMANN H.-J., POATE J. M. Implantation
and transient B diffusion in Si: The source of the interstitials. Appl. Phys. Lett., 1994, vol. 65, n°. 18, pp.
2305-2307.
[Estreicher97] : ESTREICHER S. K., HASTINGS J. L., FEDDERS, P. A. The ring-hexavacancy in
silicon: a stable and inactive defect. Appl. Phys. Lett., 1997, vol. 70, n°. 4, p. 432.
[Faehn] : FAEHN E., JEUFFRAULT L. L’implantation ionique. Communication privée.
[Fahey89] : FAHEY P. M., GRIFFIN P. B., PLUMMER J. D. Point defects and dopant diffusion in
silicon. Rev. of Modern Phys., 1989, vol. 61, n°. 2, pp. 289-384.
[Fair73] : FAIR R. B., WEBER G. R. Effect of Complex Formation on Diffusion of Arsenic in Silicon.
J. Appl. Phys., 1973, vol. 44, n°. 1, pp. 273-279.
[Falster00] : FALSTER R., VORONKOV V. V. Intrinsic point defects and their control in silicon
crystal growth and wafer processing. Mat. Res. Soc. Bulletin, 2000, vol. 25, n°. 6, p. 28.
190
Références
[Felch00] : FELCH S. B., DOWNEY D. F., AREVALO E. A., TALWAR S., GELATOS C., WANG
Y. In : Proc. of the Internat. Conf. on Ion Impl. Technol., 2000, pp. 167-170.
[Fick1855] : FICK A. Über Diffusion. Annalen der Physik und Chemie von Poggendorff, 1855, vol. 94,
n°. 170, pp. 59-86.
[Giles91] : GILES M. D. Transient Phosphorus Diffusion Below the Amorphization Threshold. J. of
Electrochem. Soc., 1991, vol. 138, n°. 4, pp. 1160-1165.
[Gilles90] : GILLES D., WEBER E. R., HAHN S. Mechanism of Internal Gettering of Interstitial
Impurities in Czochralski-Grown Silicon. Phys. Rev. Lett., 1990, vol. 64, n°. 2, pp. 196-199.
[Goebel92] : GOEBEL H., HOFFMANN K. Full Dynamic Power Diode Model Including
Temperature Behavior for Use in Circuit Simulators. In : Proc. of the Internat. Symp. on Power
Semiconductor Devices & Ics, 1992, pp. 130-135.
[Granneman01] : GRANNEMAN E. H. A., KUZNETSOV V. I., STORM A. B., TERHORST H.
The LEVITOR 4000 system, Ultra-fast, Emissivity-independent, heating of substrates via heat
conduction through thin gas layers. In : Proc. of the Internat. Conf. on Rapid Thermal Processing, 2001.
Rapid Thermal Processing for Future Semiconductor Devices, 2003, pp. 121-127.
[Griffin98] : GRIFFIN P. B., CAO M., VAN DE VOORDE P., CHANG Y.-L., GREENE W. M.
Indium transient enhanced diffusion. Appl. Phys. Lett., 1998, vol. 73, n°. 20, pp. 2886-2888.
[Gwozdz72] : GWOZDZ P. S., KOEHLER J. S. Changes in AC Conductivity of Silicon with Electron
Irradiation at 0.5 K. Phys. Rev. B, 1972, vol. 6, n°. 12, pp. 4571-4574.
[Hane96] : HANE M., IKEZAWA T., HIROI M., MATSUMOTO M.. Dopant Diffusion Model
Refinement and Its Impact on the Calculation of Reverse Short Channel Effect. In : Proc. of the IEDM,
1996, pp. 803-806.
[Hobler03] : HOBLER G., OTTO G. Status and open problems in modeling of as-implanted damage
in silicon. Mat. Sc. in Semicon. Processing, 2003, vol. 6, pp. 1-14.
[Hodgson84] : HODGSON R. T., DELINE V. R., MADER S., GELPEY J. C. Rapid thermal
annealing of boron-implanted silicon using an ultrahigh power arc lamp. Appl. Phys. Lett., 1984, vol. 44,
n°. 6, pp. 589-591.
[Hofker74] : HOFKER W. K., WERNER H. W., OOSTHOEK D. P., KOEMAN N. J. Boron
implantations in silicon: A comparison of charge carrier abd boron concentration profiles. Appl. Phys. A,
1974, vol. 4, n°. 2, pp. 125-133.
[Hohenberg64] : HOHENBERG P., KHON W. Inhomogeneous electron gas. Phys. Rev., 1964, vol.
136, n°. 3B, pp. 864-871.
[Holland91] : HOLLAND O. W., WHITE C. W. Ion-induced damage and amorphization in Si. Nucl.
Instr. and Meth. B, 1991, vol. 59-60, p. 353.
[Hossain95] : HOSSAIN S. D., PAS M. F., MINER G., CLEAVELIN C. R. Rapid Thermal
Processing (RTP) Applied to Ion Implant Anneal for 0.25 µm Technology. Proc. of the Adv. Semicon.
Manuf. Conf. and Workshop, 1995, pp. 5-7.
191
Références
[Hourakine00] : HOURAKINE B., JONES R., SOFANOV N., ÖBERG S., BRIDDON P. R.,
STREICHER S. K. Identification of the hexavacancy in silicon with the b480 optical center. Phys. Rev. B,
2000, vol. 61, n°. 19, p. 12594.
[Hu73] : HU S. M. Diffusion in Silicon and Germanium. In : Shaw D. Atomic Diffusion in
Semiconductors. London: Plenum Press, 1973, pp. 217-350.
[Hu74] : HU S. M. Formation of stacking faults and enhanced diffusion in the oxidation of silicon. J.
Appl. Phys., 1974, vol. 45, n°. 4, pp. 1567-1573.
[Hurkx92] : HURKX G. A. M., KLAASSEN D. B. M., KNUVERS M. P. G. A New Recombination
Model for Device Simulation Including Tunneling. IEEE Trans. on Electron Dev., 1992, vol. 39, n°. 2,
pp. 331-338.
[Ihm79] : IHM J., ZUNGER A., COHEN M. L. Momentum-space formalism for the total energy of
solids. J. Phys. C, 1979, vol. 12, pp. 4409-4422.
[Impellizzeri06] : IMPELLIZZERI G., MIRABELLA S., PRIOLO F., NAPOLITANI E.,
CARNERA A. Fluorine in preamorphized Si: A Point defect engineering and control of dopant
diffusion. J. Appl. Phys., 2006, vol. 99, p. 103510.
[Istratov00] : ISTRATOV A. A., HIESLMAIR H., WEBER E. R. Iron contamination in silicon
technology. Appl. Phys. A, 2000, vol. 70, n°. 5, pp. 489-534.
[Izumi05] : IZUMI S., HARA S., KUMAGAI T., SAKAI S. Molecular dynamics study of
homogeneous crystal nucleation in amorphous silicon. J. of Crystal Growth, 2005, vol. 274, pp. 47-54.
[Jaraíz96] : JARAÍZ M., GILMER G. H., POATE J. M., DIAZ DE LA RUBIA T. Atomistic
calculations of ion implantation in Si: Point defect and transient enhanced diffusion phenomena. Appl.
Phys. Lett., 1996, vol. 68, n°. 3, pp. 409-411.
[Jaraíz98] : JARAÍZ M., PELAZ L., RUBIO J. E., BARBOLLA J., GILMER G. H., EAGLESHAM
D. J., GOSSMANN H. J., POATE J. M. Atomistic modeling of point and extended defects in crystalline
materials. In : Proc. of the Mat. Res. Soc. Conf., 1998, vol. 532, p. 43.
[Jaraíz99] : JARAÍZ M., RUBIO E., CASTRILLO P. PELAZ L., BAILON L., BARBOLLA J.,
GILMER G. H., RAFFERTY C. S. Kinetic Monte Carlo simulations: an accurate bridge between ab
initio calculations and standard process experimental data. In : Proc. of the Eur. Mat. Res. Soc. Conf.,
1999. Mat. Sc. in Semicon. Proc., 2000, vol. 3, n°. 1-2, pp. 59-63.
[Jaraíz00] : JARAÍZ M., CASTRILLO P., PINACHO R., PELAZ L., BARBOLLA J., GILMER G. H.,
RAFFERTY C. S. Atomistic Modeling of Complex Silicon Processing Scenarios. In : Proc. of the Mat.
Res. Soc. Conf., 2000, vol. 610, p. B11.1.1.
[Jaraíz01] : JARAÍZ M., CASTRILLO P., PINACHO R., MARTIN-BRAGADO I., BARBOLLA J.
Atomistic Front-End Process Modelling: A Powerful Tool for Deep-Submicron Device Fabrication. In :
Proc. of the Internat. Conf. on SISPAD, 2001, pp. 1-8.
[Jaraíz07] : JARAÍZ M., CASTRILLO P., PINACHO R., RUBIO J. E. Current Capabilities and Future
Prospects of Atomistic Process Simulation. In : Proc. of the IEDM, 2007, pp. 951-954.
192
Références
[Jones08a] : JONES K. S., RUDAWSKI N. G., PHEN M., GWILLIAM R., ELLIMAN R. G. The
Effect of Stress on Ion Implantation Induced Amorphous Layer Recrystallization. In : Mat. Res. Soc.
Conf., 2008, San Francisco.
[Jones08b] : JONES K. S., RUDAWSKI N. G., PHEN M. The Effect of Stress on Implantation
Induced Solid Phase Epitaxial Recrystallization. In : Internat. Conf. on Ion Impl. Technol., 2008,
Monterey CA.
[Kaeppelin06] : KAEPPELIN V., CHALUPA Z., FRIOULAUD L., MAHTA S., GUO B., SHIM K.H., LENDZIAN H., EROKHIN Y. Process Transferability from a Spot Beam to a Ribbon Beam
Implanter: CMOS Device Matching. In : Proc. of the Internat. Conf. on Ion Impl. Technol., 2006, vol.
866, pp. 353-356.
[Kahn02] : KAHN H., HE Q., HEUER A. H. Homogeneous nucleation during crystallization of
amorphous silicon produced by low-pressure chemical vapour deposition. Philos. Mag. A, 2002, vol. 82,
n°. 1, pp. 137-165.
[Keys01] : KEYS P. H., JONES K. S., LAW M. E., PUGA-LAMBERS M., CEA S. M. Dopant-Defect
Clustering in Phosphorus Implanted Silicon: Experimentation and Modeling. Abstracts of the MRS
Spring Meeting, 2001, J5.5, pp. 195-196.
[Kim03] : KIM Y.-S., LEE E.-C., CHANG K. J. Electrically Deactivating Nearest-Neighbor Donor
Pair Defects in Si. Phys. Rev. Lett., 2003, vol. 91, p. 125503.
[Kohn65] : KOHN W., SHAM L. J. Self-Consistent Equations Including Exchange and Correlation
Effects. Phys. Rev., 1965, vol. 140, n°. 4A, pp. 1133-1138.
[Kohyama92] : KOHYAMA M., TAKEDA S. Atomic structure and energy of the {113} planar
interstitial defects in Si. Phys. Rev. B, 1992, vol. 46, n°. 19, pp. 12305-12315.
[Kohyama93] : KOHYAMA M., TAKEDA S. The atomic and electronic structure of the {113} planar
interstitial defects in silicon. In : Proc. of the Internat. Conf. on Computer-assisted Mat. Design and
Proc. Simul., 1993, pp. 368-373.
[Kohyama95] : KOHYAMA M., TAKEDA S. Tight-binding study of the {113} planar interstitial
defects in Si. Phys. Rev. B, 1995, vol. 72, n°. 19, pp. 13111-13116.
[Kurzweil01] :
KURZWEIL
R.
KurzweilAI.net
<http://www.kurzweilai.net/>. (28 août 2008).
[en
ligne].
Disponible
sur
:
[Lamrani04] : LAMRANI Y., CRISTIANO F., COLOMBEAU B., SCHEID E., CALVO P.,
SCHÄFER H., CLAVERIE A. Direct evidence of the recombination of silicon interstitial atoms at the
silicon surface. In : Proc. of the Eur. Mat. Res. Soc. Conf., 2004. Nucl. Instr. and Meth. in Phys. Res. B,
2004, vol. 216, pp. 281-285.
[Lang74] : LANG D. V. Deep-level transient spectroscopy: A new method to characterize traps in
semiconductors. J. Appl. Phys., 1974, vol. 45, n°. 7, pp. 3023-3032.
[Lee74] : LEE Y. H., CORBETT J. W. EPR study of defects in neutron-irradiated silicon: Quenched-in
alignment under <110>-uniaxial stress. Phys. Rev. B, 1974, vol. 9, n°. 10, p. 4351.
193
Références
[Lindhard61] : LINDHART J., SCHAFF M. Energy Dissipation by Ions in the kev Region. Phys. Rev.,
1961, vol. 124, n°. 1, pp. 128-130.
[Liou90] : LIOU J. J. Modeling the Tunnelling Current in Reverse-Biased p/n Junctions. Solid-State
Electronics, 1990, vol. 33, n°. 7, pp. 971-972.
[Liu96] : LIU J. PhD. thesis. Florida : University of Florida, 1996.
[Luning92] : LUNING S., ROUSSEAU P. M., GRIFFIN P. B., CAREY P. G., PLUMMER J. D.
Kinetics of High Concentration Arsenic Deactivation at Moderate to Low Temperatures. In : Proc. of
the IEDM, 1992, pp. 457-460.
[MartinBragado04a] : MARTIN-BRAGADO I. Simulación atomística de procesos para
Microelectrónica. Thèse Electronique. Valladolid : Universidad de Valladolid, 2004, 450 p.
[MartinBragado04b] : MARTIN-BRAGADO I., JARAÍZ M., CASTRILLO P., PINACHO R.,
RUBIO J. E., BARBOLLA J. Ion Implant Simulations: A kinetic Monte Carlo annealing assessment of
the dominant features. Appl. Phys. Lett., 2004, vol. 84, n°. 24, pp. 4962-4964.
[MartinBragado04c] : MARTIN-BRAGADO I., PINACHO R., CASTRILLO P., JARAÍZ M.,
RUBIO J. E., BARBOLLA J. Physical modeling of Fermi-level effects for decanano device process
simulation. Mat. Sc. and Eng. B, 2004, vol. 114-115, pp. 284-289.
[MartinBragado05a] : MARTIN-BRAGADO I., CASTRILLO P., JARAÍZ M., PINACHO R.,
RUBIO J. E., BARBOLLA J., MOROZ V. Fermi-level effects in semiconductor processing: A modeling
scheme for atomistic kinetic Monte Carlo simulators. J. Appl. Phys., 2005, vol. 98, p. 053709.
[MartinBragado05b] : MARTIN-BRAGADO I., CASTRILLO P., JARAÍZ M., PINACHO R.,
RUBIO J. E., BARBOLLA J. Physical atomistic kinetic Monte Carlo modeling of Fermi-level effects of
species diffusing in silicon. Phys. Rev. B, 2005, vol. 72, p. 035202.
[Mathiot83] : MATHIOT D., PFISTER J. C. Diffusion of Arsenic in Silicon: Validity of the
Percolation Model. Appl. Phys. Lett., 1983, vol. 42, n°. 12, pp. 1043-1044.
[Mathiot95] : MATHIOT D., SCHEIBLIN P. Modelling of Low Thermal Budget Redistribution of
Arsenic in Silicon: Dynamic clustering. Electrochem. Soc. Proc., 1995, vol. 95-5, pp. 13-20.
[Mizuo83] : MIZUO S., KUSAKA T., SHINTANI A., NANBA M., HIGUCHI H. Effect of Si and
SiO2 Thermal Nitridation on Impurity Diffusion and Oxidation Induced Stacking Fault Size in Si. J.
Appl. Phys., 1983, vol. 54, n°. 7, pp. 3860-3866.
[Mok05] : MOK K. R. C., JARAÍZ M., MARTIN-BRAGADO I., RUBIO J. E., CASTRILLO P.,
PINACHO R., BARBOLLA J., SRINIVASAN M. P. Ion-Beam Amorphization of Semiconductors: A
Physical Model Based on the Amorphous Pockets Population. J. Appl. Phys., 2005, vol. 98, p. 046104.
[Mokhberi02] : MOKHBERI A., GRIFFIN P. B., PLUMMER J. D., PATON E., MCCOY S.,
ELLIOTT K. A Comparative Study of Dopant Activation in Boron, BF2, Arsenic, and Phosphorus
Implanted Silicon. IEEE Trans. on Electron Dev., 2002, vol. 49, n°. 7, pp. 1183-1191.
[NylandstedLarsen97] : NYLANDSTED LARSEN A., KRINGHØJ P., LUNDSGAARD
HANSEN J., SHIRYAEV S. Y. Isoconcentration Studies of Antimony Diffusion in Silicon. J. Appl.
Phys., 1997, vol. 81, n°. 5, pp. 2173-2184.
194
Références
[Oen76] : OEN O. S., ROBINSON M. T. Computer studies of the reflection of light ions from solids.
Nucl. Instr. and Meth., vol. 132, pp. 647-653.
[Omling83] : OMLING P., SAMUELSON L., GRIMMEISS H. G. Deep level transient spectroscopy
evaluation of nonexponential transients in semiconductors alloys. J. Appl. Phys., 1983, vol. 54, n°. 9, pp.
5117-5122.
[Omling85] : OMLING P., WEBER E. R., MONTELIUS L., ALEXANDER H., MICHEL J.
Electrical properties of dislocations and point defects in plastically deformed silicon. Phys. Rev. B, 1985,
vol. 32, n°. 10, pp. 6571-6581.
[Ortolland06] : ORTOLLAND C. Etude des effets des contraintes mécaniques induites par les
procédés de fabrication sur le comportement électrique des transistors CMOS des noeuds
technologiques 65nm en en-deça. Thèse Electronique, Electrotechnique, Automatique. Grenoble : INSA
de Lyon, 2006, 218 p.
[Pandey88] : PANDEY K. C., ERBIL A., CARGILL G. S., BOEHME R. F., VANDERBILT D.
Annealing of Heavily Arsenic-Doped Silicon: Electrical Deactivation and a New Defect Complex. Phys.
Rev. Lett., 1988, vol. 61, n°. 11, pp. 1182-1185.
[Pantelides83] : PANTELIDES S. T., IVANOV I., SCHEFFLER M., VIGNERON J. P.
Multivacancies, Interstitials, and Self-Interstitial Migration in Silicon. In : Proc. of the 12th Internat. Conf.
on Defects in Semiconductors, 1983. Physica, 1983, vol. 116B, pp. 18-27.
[Papuzza03] : PAPUZZA C., TAIARIOL F. Tecnologie per la microelettronica e materiali funzionali
per l’elettronica I. 2a parte. Anno academico 2003-2004. Torino : Politecnico di Torino, 2003, 302 p.
[Payne92] : PAYNE M. C., TETER M. P., ALLAN D. C., ARIAS T. A., JOANNOPOULOS J. D.
Iterative minimization techniques for ab initio total-energy calculations: molecular dynamics and
conjugate gradients. Rev. of Mod. Phys., 1992, vol. 64, n°. 4, pp. 1045-1097.
[Pelaz97] : PELAZ L., JARAÍZ M., GILMER G. H., GOSSMANN H.-J., RAFFERTY C. S.,
EAGLESHAM D. J., POATE J. M. B diffusion and clustering in ion implanted Si: The role of B cluster
precusors. Appl. Phys. Lett., 1997, vol. 70, n°. 17, pp. 2285-2287.
[Pelaz98] : PELAZ L., GILMER G. H., JARAÍZ M., HERNER S. B., GOSSMANN H.-J.,
EAGLESHAM D. J., HOBLER G., RAFFERTY C. S., BARBOLLA J. Modeling of the ion mass effect
on transient enhanced diffusion: Deviation from the “+1” model. Appl. Phys. Lett., 1998, vol. 73, n°. 10,
pp. 1421-1423.
[Pelaz99a] : PELAZ L., GILMER G. H., GOSSMANN H.-J., RAFFERTY C. S., JARAIZ M.,
BARBOLLA J. B cluster formation and dissolution in Si: A scenario based on atomistic modeling. Appl.
Phys. Lett., 1999, vol. 74, n°. 24, pp. 3657-3659.
[Pelaz01] : PELAZ L., MARQUÉS L. A., GILMER G. H., JARAÍZ M., BARBOLLA J. Atomistic
modeling of the effects of dose and implant temperature on dopant diffusion and amorphization in Si.
Nucl. Instr. and Meth. in Phys. Res. B, 2001, vol. 180, pp. 12-16.
[Phillips58] : PHILLIPS J. C. Energy-Band Interpolation Scheme Based on a Pseudopotential. Phys.
Rev., 1958, vol. 112, n°. 3, pp. 685-695.
195
Références
[Pichler04] : PICHLER P. Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon. Wien:
Springer-Verlag, 2004, 554 p. (Computational Microelectronics).
[Pipes04] : PIPES L., TAYLOR M., ZIETZ G., AL-BAYATI A., CASTLE M., MARIN T.,
SIMMONS J. Characterization and reduction of a new particle defect mode in sub-0.25 µm
semiconductor process flows. In : Proc. of the Internat. Conf. on Ion Impl. Technol., 2004. Nucl. Instr.
and Meth. in Phys. Res. B, 2005, vol. 237, pp. 330-335.
[Rafferty97] : RAFFERTY C. Progress in predicting transient diffusion. In : Proc. of the Internat. Conf.
on SISPAD, 1997, pp. 1-4.
[Rochette08] : ROCHETTE F. Etude et caractérisation de l’influence des contraines mécaniques sur les
propriétés du transport électronique dans les architectures MOS avancées. Thèse MicroNanoélectronique. Grenoble : Institut Polytechnique de Grenoble, 2008, 300 p.
[Roulston82] : ROULSTON D. J., ARORA N. D., CHAMBERLAIN S. G. Modeling and
Measurement of Minority-Carrier Lifetime versus Doping in Diffused Layers of n+-p Silicon Diodes.
IEEE Trans. on Electron Dev., 1982, vol. ED-29, n°. 2, pp. 284-291.
[Rousseau94] : ROUSSEAU, P. M., GRIFFIN P. B., KUEHNE S. C., PLUMMER J. D. Device
Implications of Enhanced Diffusion caused by the Electrical Deactivation of Arsenic. In : Proc. of the
IEDM, 1994, pp. 861-864.
[Rousseau96] : ROUSSEAU, P. M., GRIFFIN P. B., KUEHNE S. C., PLUMMER J. D. Enhanced
Diffusion by Electrical Deactivation of Arsenic and Its Implications for Bipolar Devices. IEEE Trans.
on Electron Dev., 1996, vol. 43, n°. 4, pp. 547-553.
[Rudawski08] : RUDAWSKI N. G., JONES K. S. Kinetics and Morphological Instabilities of Stressed
Solid Phase Epitaxial Growth of Ion-Implanted Si. In : Internat. Conf. on Ion Impl. Technol., 2008,
Monterey CA.
[Schenk92] : SCHENK A. A Model for the Field and Temperature Dependence of Shockley-Read-Hall
Lifetimes in Silicon. Solid-State Electronics, 1992, vol. 35, n°. 11, pp. 1585-1596.
[Schenk96] : SCHENK A. Rigorous Theory and Simplified Model of the Band-to-Band Tunneling in
Silicon. Solid-State Electronics, 1993, vol. 36, n°. 1, pp. 19-34.
[Schmeide06] : SCHMEIDE M., KOKOT M., FRANKE D.-W., SAUTER B. Detection and
Reduction of the Yield Impact of Particle Induced Structure Defects at Batch Ion Implanters. In : Proc.
of the Internat. Conf. on Ion Impl. Technol., 2006, vol. 866, pp. 562-565.
[Schroer99] : SCHROER E., UEMATSU M. Simulation of Clustering and Pile-Up during PostImplantation Annealing of Phosphorus in Silicon. Jpn. J. Appl. Phys. (Part 1), 1999, vol. 38, n°. 1A, pp.
7-11.
[Schultz91] : SCHULTZ P. J., JAGADISH C., RIDGWAY M. C., ELLIMAN R. G., WILLIAMS J. S.
Crystalline-to-amorphous transition for Si-ion irradiation of Si(100). Phys. Rev. B, 1991, vol. 44, n°. 16,
pp. 9118-21.
[Seitz50] : SEITZ F. On the theory of Diffusion in Metals. Acta Crystals, 1950, vol. 3, pp. 355-363.
196
Références
[Singer07] : SINGER J., SALVETTI F., KAEPPELIN V., WACQUANT, F., CAGNAT N., JARAÍZ
M., CASTRILLO P., RUBIO J. E., PONCET A. Atomistic modeling and physical comprehension of
the effects of implant dose rate on boron activation in pMOSFET S/D. In : Proc. of the ESSDERC,
2007, pp. 338-341.
[Singer08a] : SINGER J., JARAÍZ M., CASTRILLO P., LAVIRON C., CAGNAT N., WACQUANT
F., CUETO O., PONCET A. The Role of Implanter Parameters in Implant Damage Generation: an
Atomistic Simulation Study. In : Proc. of the Internat. Conf. on Ion Impl. Technol., 2008. Accepté pour
publication.
[Singer08b] : SINGER J., WACQUANT F., VILLANUEVA D., SALVETTI F., LAVIRON C.,
CUETO O., RIVALLIN P., JARAÍZ M., PONCET A. Atomistic Simulation and Subsequent
Optimization of Boron USJ Using Pre-Amporphization and High Ramp Rates Annealing. In : Proc. of
the Mat. Res. Soc. Conf., 2008, vol. 1070, p. E05-08.
[Spinella98] : SPINELLA C., LOMBARDO S., PRIOLO F. Crystal grain nucleation in amorphous
silicon. J. Appl. Phys., 1998, vol. 84, n°. 10, pp. 5383-5414.
[Stillinger85] : STILLINGER F. H., WEBER T. A. Computer simulation of local order in condensed
phases of silicon. Phys. Rev. B, 1985, vol. 31, n°. 8, pp. 5262-5271.
[Stolk97] : STOLK P. A., GOSSMANN H. J., EAGLESHAM D. J., JACOBSON D. C., RAFFERTY
C. S., GILMER G. H., JARAIZ M., POATE J. M., LUFTMAN H. S., HAYNES T. E. Physical
mechanisms of transient enhanced dopant diffusion in ion-implanted silicon. J. Appl. Phys., 1997, vol.
81, n°. 9, pp. 6031-6050.
[Synopsys07] : SYNOPSYS Ed. Sentaurus Process User Guide. Version A-2007.12. California:
Synopsys, Inc., 2007, 752 p.
[Sze07] : SZE S. M., KWOK K. Ng. Physics of semiconductor devices. 3rd edition. New Jersey: John
Wiley and Sons, Inc., 2007, 815 p.
[Sztucki01] : SZTUCKI M. ‘Lifetime’ of temperature induced defect structures in boron-implanted
silicon: characterisation with modern X-ray diffraction and scanning probe microscopy : rapport de
stage, “Diplom” thesis. Munich : Ludwig-Maximilians-Universität, 2001, 70 p.
[Takamura02a] : TAKAMURA Y., JAIN S. H., GRIFFIN P. B., PLUMMER J. D. Thermal Stability
of Dopants in Laser Annealed Silicon. J. Appl. Phys., 2002, vol. 92, n°. 1, pp. 230-234.
[Takamura02b] : TAKAMURA Y., GRIFFIN P. B., PLUMMER J. D. Physical Process Associated
with the Deactivation of Dopants in Laser Annealed Silicon. J. Appl. Phys., 2002, vol. 92, n°. 1, pp. 235244.
[Takeda91a] : TAKEDA S. An Atomic Model of Electron-Irradiation-Induced Defects on {113} in Si.
Jpn. J. Appl. Phys., 1991, vol. 30, n°. 41, pp. L369-342.
[Takeda91b] : TAKEDA S. JEOL News, 1991, vol. 29E, p. 2.
[Tyagi83] : TYAGI M. S., VAN OVERSTRAETEN R. Minority Carrier Recombination in HeavilyDoped Silicon. Solid-State Electronics, 1983, vol. 26, n°. 6, pp. 577-597.
197
Références
[Ueki97] : UEKI T., ITSUMI M., TAKEDA T. Octahedral void defects observed in the bulk of
Czochralski silicon. Appl. Phys. Lett., 1997, vol. 70, n°. 10, p. 1248.
[Uematsu99] : UEMATSU M. Simulation of High-Concentration Phosphorus Diffusion in Silicon
Taking into Account Phosphorus Clustering and Pile-Up. Jpn. J. Appl. Phys. (Part 1), 1999, vol. 38, n°.
11, pp. 6188-6192.
[Ural99] : URAL A., GRIFFIN P. B., PLUMMER J. D. Fractional contributions of microscopic
diffusion mechanisms for common dopants and self-diffusion in silicon. J. Appl. Phys., 1999, vol. 85, n°.
9, pp. 6440-6446.
[Watkins65] : WATKINS G. D., CORBETT J. W. Defects in irradiated silicon: electron paramagnetic
resonance of the divacancy. Phys. Rev., 1965, vol. 138, n°. 2A, p. 543.
[Watkins00] : WATKINS G. D. Intrinsic defects in silicon. Mat. Sc. in Semicon. Processing, 2000, vol.
3, pp. 227-235.
[Williams90] : WILLIAMS J. S., SHORT K. T., ELLIMAN R. G., RIDGWAY M. C., GOLDBERG
R. Ion-beam-induced amorphization and dynamic annealing processes in silicon. Nucl. Instr. and Meth.
in Phys. Res. B, 1990, vol. 48, pp. 431-434.
[Williams96] : WILLIAMS D. B., CARTER C. B. Transmission Electron Microscopy. Vol. 3: Imaging.
New York : Plenum Publishing Corp., 1996, 703 p.
[Yoshida80] : YOSHIDA M. General Theory of Phosphorus and Arsenic Diffusions in Silicon. Jpn. J.
Appl. Phys., 1980, vol. 19, N°. 12, pp. 2427-2440.
[Zographos07] : ZOGRAPHOS N., ZECHNER C., AVCI I. Efficient TCAD Model for the
Evolution of Interstitial Clusters, {311} Defects, and Dislocation Loops in Silicon. In : Proc. of the Mat.
Res. Soc. Conf., 2007, vol. 994, p. F10-01.
198
RESUME
La microélectronique appartient désormais à notre quotidien, à travers des appareils mobiles et
supportant toujours plus de fonctionnalités. De par leur mobilité, ces appareils ont besoin d’une source
d’énergie embarquée, et de fait limitée. Il est donc devenu nécessaire de réduire la consommation des
circuits intégrés. Les courants de fuites au niveau des jonctions dans le transistor MOSFET – le
composant de base des circuits – sont parmi les principales causes de cette consommation, fuites qui
dépendent elles-mêmes des profils de dopants ainsi que de la présence éventuelle de défauts étendus
résiduels. L’objectif de ce travail de thèse est de simuler les courants de fuite de jonction en fonction des
procédés de fabrication. La simulation atomistique (méthode Monte Carlo cinétique sans réseau) est
d’abord utilisée afin de prévoir l’évolution des dopants (diffusion et activation) et des défauts
(agglomération, transformation, dissolution) au cours des étapes de fabrication (implantations ioniques,
recuits). Ce type de simulation offre une vision nouvelle de l’évolution des défauts et impuretés au cours
de ces procédés. Les caractéristiques électro-énergétiques des niveaux profonds, associés aux défauts
étendus et responsables d’une partie de la fuite de jonction, sont ensuite analysées par spectroscopie des
transitoires de capacité des niveaux profonds (DLTS). Ces caractéristiques ainsi que les profils de
dopants sont enfin exploités dans les modèles de courants de jonction pour simuler les fuites de
jonctions ultrafines.
ABSTRACT
Microelectronics is nowadays part of our lives, through mobile and multifunctional devices.
Due to their mobility, these devices need an embedded, thus limited, energy source. It became necessary
to reduce the consumption of the integrated circuits. Junction leakages within the MOSFET transistor,
basic component of these circuits, are one of the principle causes of this consumption. Junction leakage
in turn depends on the eventual presence of residual extended defects. This work aims to simulate the
junction leakage depending on the fabrication process. Atomistic simulation (non lattice kinetic Monte
Carlo method) is first used in order to predict the evolution of dopants (diffusion, activation) and of
defects (agglomeration, transformation, dissolution) during fabrication steps (ion implantation, thermal
annealing). This kind of simulation offers a new way to consider the evolution of defects and impurities
during the process. The electrical and energetical characteristics of deep levels, generated by extended
defects and responsible for a significative part of the junction leakage, are then studied by deep level
transient spectroscopy (DLTS). These characteristics and the dopant profiles are finally used as input in
junction current models to simulate ultra shallow junction leakage.
RESUMEN
La Microelectrónica es, en nuestros días, algo cotidiano que encontramos en toda clase de
aparatos móviles con multitud de funciones. Debido a esta movilidad, dichos aparatos necesitan una
fuente de energía ligera y por ello limitada. Por este motivo es ahora esencial reducir el consumo de los
circuitos integrados. Las corrientes de fuga de las uniones del transistor MOSFET, el componente básico
de los circuitos, son una de las causas principales de este consumo. Dicha fuga depende de la posible
presencia de defectos extensos residuales. El objetivo de esta tesis es simular las corrientes de fuga de la
unión en función de las etapas de fabricación. En primer lugar, se utiliza la simulación atomística
(método de Monte Carlo cinético sin red) para predecir la evolución de las impurezas (difusión y
activación) y de los defectos (aglomeración, transformación, disolución) en el curso de los procesos de
fabricación (implantaciones iónicas, recocidos). Este tipo de simulaciones proporciona una nueva
perspectiva de la evolución de los defectos y de las impurezas durante dichos procesos. Posteriormente y
mediante espectroscopía de transitorios de niveles profundos (DLTS), se analizan las características
eléctricas y energéticas de los niveles profundos, asociados a los defectos extensos y responsables de una
parte de las fugas de uniones. Finalmente, estas características, junto con los perfiles de dopantes, son
usadas en los modelos de corrientes de uniones para simular las fugas de uniones poco profundas.
199